Index of /pub/Linux/distributions/alpine/edge/testing/s390x

[ICO]NameLast modifiedSizeDescription

[PARENTDIR]Parent Directory  -  
[   ]lua-psl-0.3-r0.apk2024-10-25 22:32 1.1K 
[   ]lua-lcurses-9.0.0-r0.apk2024-10-25 22:32 1.1K 
[   ]lua-linenoise-0.9-r1.apk2024-10-25 22:32 1.2K 
[   ]msgpuck-2.0-r1.apk2024-10-25 22:32 1.2K 
[   ]lua-libmodbus-0.6.1-r0.apk2024-10-25 22:32 1.2K 
[   ]lua-editorconfig-0.3.0-r0.apk2024-10-25 22:32 1.2K 
[   ]font-fantasque-sans-1.8.0-r0.apk2024-10-25 22:30 1.2K 
[   ]luacov-html-1.0.0-r1.apk2024-10-25 22:32 1.2K 
[   ]libnest2d-0.4-r7.apk2025-02-06 05:36 1.2K 
[   ]linuxptp-4.4-r0.apk2024-11-17 18:17 1.2K 
[   ]libqofono-0.124-r0.apk2025-01-10 13:02 1.2K 
[   ]simpleble-0.10.3-r0.apk2025-07-11 04:17 1.2K 
[   ]restinio-0.6.19-r1.apk2024-12-14 20:04 1.2K 
[   ]lumina-desktop-1.6.2-r0.apk2024-10-25 22:32 1.2K 
[   ]font-cascadia-2407.24-r1.apk2025-05-27 16:41 1.3K 
[   ]musikcube-plugin-all-3.0.4-r1.apk2025-01-26 20:32 1.3K 
[   ]baikal-mysql-0.10.1-r1.apk2025-05-27 23:37 1.3K 
[   ]baikal-pgsql-0.10.1-r1.apk2025-05-27 23:37 1.3K 
[   ]nb-full-7.19.1-r0.apk2025-05-25 00:10 1.3K 
[   ]ruby-build-runtime-20250507-r0.apk2025-05-15 15:21 1.3K 
[   ]lxd-vm-5.0.3-r12.apk2025-07-10 21:45 1.3K 
[   ]hyprland-plugins-0.49.0-r0.apk2025-05-24 22:10 1.3K 
[   ]elfio-3.12-r0.apk2024-10-25 22:30 1.4K 
[   ]dvdbackup-lang-0.4.2-r1.apk2024-10-25 22:30 1.4K 
[   ]lua-xml-1.1.3-r2.apk2024-10-25 22:32 1.4K 
[   ]baikal-sqlite-0.10.1-r1.apk2025-05-27 23:37 1.4K 
[   ]f_scripts-0.6-r1.apk2024-10-25 22:30 1.4K 
[   ]lua-lanes-3.16.0-r1.apk2024-10-25 22:32 1.4K 
[   ]nextpnr-0.7-r0.apk2024-10-25 22:32 1.4K 
[   ]arc-theme-20221218-r0.apk2024-10-25 22:29 1.4K 
[   ]prosody-modules-0.11_hg20201208-r0.apk2024-10-25 22:34 1.5K 
[   ]luacov-0.15.0-r0.apk2024-10-25 22:32 1.5K 
[   ]libwmiclient-1.3.16-r5.apk2024-10-25 22:32 1.5K 
[   ]font-monaspace-1.101-r0.apk2024-10-25 22:30 1.5K 
[   ]freshrss-mysql-1.23.1-r1.apk2024-10-25 22:30 1.5K 
[   ]freshrss-sqlite-1.23.1-r1.apk2024-10-25 22:30 1.5K 
[   ]aufs-util-dev-20161219-r3.apk2024-10-25 22:29 1.5K 
[   ]freshrss-pgsql-1.23.1-r1.apk2024-10-25 22:30 1.5K 
[   ]qoi-0.0.0_git20230312-r0.apk2024-10-25 22:34 1.5K 
[   ]lua-luastatic-0.0.12-r1.apk2024-10-25 22:32 1.5K 
[   ]termcolor-2.1.0-r0.apk2024-10-25 22:36 1.5K 
[   ]materia-dark-compact-kde-kvantum-20220823-r0.apk2024-10-25 22:32 1.5K 
[   ]openjdk23-23.0.2_p7-r1.apk2025-02-14 16:28 1.5K 
[   ]materia-light-compact-kde-kvantum-20220823-r0.apk2024-10-25 22:32 1.5K 
[   ]openjdk22-22.0.2_p9-r3.apk2025-02-14 16:28 1.5K 
[   ]biboumi-doc-9.0-r8.apk2025-05-28 23:37 1.5K 
[   ]lomiri-thumbnailer-doc-3.0.4-r2.apk2025-02-14 16:28 1.5K 
[   ]qt5ct-dev-1.8-r0.apk2024-10-25 22:34 1.5K 
[   ]openocd-git-cmd-openocd-0_git20240113-r1.apk2024-10-25 22:34 1.5K 
[   ]slidge-matridge-openrc-0.1.0-r0.apk2024-10-25 22:36 1.5K 
[   ]libtcmu-dev-1.6.0-r6.apk2024-10-25 22:32 1.5K 
[   ]oils-for-unix-bash-0.31.0-r0.apk2025-06-23 07:24 1.5K 
[   ]oils-for-unix-binsh-0.31.0-r0.apk2025-06-23 07:24 1.5K 
[   ]openjdk24-24.0.1_p9-r0.apk2025-06-09 10:30 1.5K 
[   ]remake-make-1.5-r1.apk2024-10-25 22:34 1.5K 
[   ]icingaweb2-module-pnp-doc-1.1.0-r1.apk2024-10-25 22:31 1.5K 
[   ]66-init-0.8.2.1-r0.apk2025-06-03 21:11 1.5K 
[   ]foolsm-openrc-1.0.21-r0.apk2024-10-25 22:30 1.6K 
[   ]android-file-transfer-dev-4.5-r0.apk2025-06-25 01:03 1.6K 
[   ]nullmailer-openrc-2.2-r4.apk2024-10-25 22:33 1.6K 
[   ]prosody-mod-saslname-0.11_hg20201208-r0.apk2024-10-25 22:34 1.6K 
[   ]throttled-openrc-0.10.0-r1.apk2024-12-15 20:23 1.6K 
[   ]py3-litex-hub-modules-2024.04-r0.apk2024-10-25 22:34 1.6K 
[   ]neocmakelsp-fish-completion-0.8.22-r0.apk2025-05-05 10:12 1.6K 
[   ]pimd-openrc-3.0_git20220201-r0.apk2024-10-25 22:34 1.6K 
[   ]3proxy-openrc-0.9.4-r2.apk2025-04-04 07:40 1.6K 
[   ]vcstool-tcsh-completion-0.3.0-r5.apk2024-10-25 22:36 1.6K 
[   ]repgrep-bash-completion-0.15.0-r0.apk2024-10-25 22:34 1.6K 
[   ]aprilsh-0.7.12-r6.apk2025-07-10 21:44 1.6K 
[   ]barman-bash-completion-3.14.1-r0.apk2025-06-25 16:18 1.6K 
[   ]fileshelter-openrc-6.2.0-r3.apk2025-02-25 07:47 1.6K 
[   ]lizardfs-master-openrc-3.13.0-r17.apk2025-06-19 11:30 1.6K 
[   ]lutgen-zsh-completion-0.11.2-r0.apk2024-10-25 22:32 1.6K 
[   ]repgrep-zsh-completion-0.15.0-r0.apk2024-10-25 22:34 1.6K 
[   ]wch-isp-udev-rules-0.4.1-r2.apk2024-10-25 22:37 1.6K 
[   ]wf-shell-dev-0.9.0-r0.apk2025-02-27 21:28 1.6K 
[   ]lizardfs-metalogger-openrc-3.13.0-r17.apk2025-06-19 11:30 1.7K 
[   ]lizardfs-chunkserver-openrc-3.13.0-r17.apk2025-06-19 11:30 1.7K 
[   ]dstask-zsh-completion-0.26-r15.apk2025-07-10 21:44 1.7K 
[   ]moosefs-master-openrc-4.56.6-r2.apk2025-06-19 11:30 1.7K 
[   ]lxd-feature-doc-5.20-r12.apk2025-07-10 21:45 1.7K 
[   ]prometheus-rethinkdb-exporter-openrc-1.0.1-r29.apk2025-07-10 21:45 1.7K 
[   ]ruuvi-prometheus-openrc-0.1.9-r3.apk2025-07-10 21:45 1.7K 
[   ]moosefs-metalogger-openrc-4.56.6-r2.apk2025-06-19 11:30 1.7K 
[   ]sssd-openrc-2.11.0-r0.apk2025-06-06 10:56 1.7K 
[   ]lutgen-bash-completion-0.11.2-r0.apk2024-10-25 22:32 1.7K 
[   ]moosefs-chunkserver-openrc-4.56.6-r2.apk2025-06-19 11:30 1.7K 
[   ]bestline-dev-0.0_git20211108-r0.apk2024-10-25 22:29 1.7K 
[   ]dcmtk-openrc-3.6.9-r0.apk2025-01-19 18:09 1.7K 
[   ]nymphcast-mediaserver-nftables-0.1-r3.apk2024-10-25 22:33 1.7K 
[   ]monopd-openrc-0.10.4-r0.apk2025-01-11 12:11 1.7K 
[   ]hiawatha-openrc-11.6-r1.apk2025-05-27 13:08 1.7K 
[   ]interception-tools-openrc-0.6.8-r2.apk2024-10-25 22:31 1.7K 
[   ]efl-gdb-1.28.1-r2.apk2025-03-23 16:04 1.7K 
[   ]libwmiclient-dev-1.3.16-r5.apk2024-10-25 22:32 1.7K 
[   ]tuptime-openrc-5.2.4-r1.apk2024-11-28 23:44 1.7K 
[   ]fastd-openrc-23-r0.apk2025-01-27 22:51 1.7K 
[   ]remco-openrc-0.12.5-r1.apk2025-07-10 21:45 1.7K 
[   ]deviced-openrc-0_git20250427-r0.apk2025-07-05 22:04 1.7K 
[   ]wroomd-openrc-0.1.0-r0.apk2024-10-25 22:37 1.7K 
[   ]fusee-nano-udev-0.5.3-r1.apk2024-10-25 22:30 1.7K 
[   ]tuned-openrc-2.25.1-r2.apk2025-05-28 12:42 1.7K 
[   ]octoprint-openrc-1.10.3-r0.apk2024-12-25 23:04 1.7K 
[   ]neard-openrc-0.19-r0.apk2024-10-25 22:32 1.7K 
[   ]prosody-mod-require_otr-0.11_hg20201208-r0.apk2024-10-25 22:34 1.7K 
[   ]rinetd-openrc-0.73-r0.apk2024-10-25 22:34 1.7K 
[   ]soapy-sdr-remote-openrc-0.5.2-r1.apk2024-10-25 22:36 1.7K 
[   ]pantalaimon-ui-0.10.5-r4.apk2024-10-25 22:34 1.7K 
[   ]pully-openrc-1.0.0-r0.apk2024-10-25 22:34 1.7K 
[   ]razercfg-openrc-0.42-r7.apk2024-10-25 22:34 1.7K 
[   ]vcstool-zsh-completion-0.3.0-r5.apk2024-10-25 22:36 1.7K 
[   ]lutgen-fish-completion-0.11.2-r0.apk2024-10-25 22:32 1.7K 
[   ]ipp-usb-openrc-0.9.30-r1.apk2025-07-10 21:45 1.7K 
[   ]infnoise-openrc-0.3.3-r0.apk2025-05-26 10:58 1.7K 
[   ]speedtest-go-openrc-1.1.5-r16.apk2025-07-10 21:45 1.7K 
[   ]arc-20221218-r0.apk2024-10-25 22:29 1.8K 
[   ]arc-dark-20221218-r0.apk2024-10-25 22:29 1.8K 
[   ]tuned-ppd-openrc-2.25.1-r2.apk2025-05-28 12:42 1.8K 
[   ]vcstool-bash-completion-0.3.0-r5.apk2024-10-25 22:36 1.8K 
[   ]arc-darker-20221218-r0.apk2024-10-25 22:29 1.8K 
[   ]kopia-bash-completion-0.19.0-r5.apk2025-07-10 21:45 1.8K 
[   ]prosody-mod-log_auth-0.11_hg20201208-r0.apk2024-10-25 22:34 1.8K 
[   ]arc-lighter-20221218-r0.apk2024-10-25 22:29 1.8K 
[   ]prosody-mod-block_registrations-0.11_hg20201208-r0.apk2024-10-25 22:34 1.8K 
[   ]espeakup-openrc-0.90-r2.apk2025-05-19 00:31 1.8K 
[   ]hyprpolkitagent-openrc-0.1.2-r0.apk2025-05-24 22:02 1.8K 
[   ]otrs-fastcgi-6.0.48-r2.apk2024-10-25 22:34 1.8K 
[   ]phoronix-test-suite-bash-completion-10.8.4-r2.apk2024-10-25 22:34 1.8K 
[   ]geodns-openrc-3.3.0-r14.apk2025-07-10 21:44 1.8K 
[   ]corosync-openrc-3.1.9-r0.apk2025-05-29 05:16 1.8K 
[   ]docker-volume-local-persist-openrc-1.3.0-r34.apk2025-07-10 21:44 1.8K 
[   ]peervpn-openrc-0.044-r5.apk2024-10-25 22:34 1.8K 
[   ]kopia-zsh-completion-0.19.0-r5.apk2025-07-10 21:45 1.8K 
[   ]nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk2024-10-25 22:33 1.8K 
[   ]cliphist-fzf-0.6.1-r6.apk2025-07-10 21:44 1.8K 
[   ]otrs-nginx-6.0.48-r2.apk2024-10-25 22:34 1.8K 
[   ]ckb-next-daemon-openrc-0.6.2-r0.apk2025-03-19 14:12 1.8K 
[   ]ghq-bash-completion-1.8.0-r2.apk2025-07-10 21:44 1.8K 
[   ]cloudflared-openrc-2024.12.1-r7.apk2025-07-10 21:44 1.8K 
[   ]yaru-schemas-23.10.0-r2.apk2025-03-19 14:14 1.8K 
[   ]icingaweb2-module-generictts-doc-2.1.0-r0.apk2025-07-02 08:39 1.8K 
[   ]avahi2dns-openrc-0.1.0-r2.apk2025-07-10 21:44 1.8K 
[   ]mkdocs-cinder-pyc-1.2.0-r5.apk2024-10-25 22:32 1.8K 
[   ]turnstile-openrc-0.1.10-r3.apk2024-10-25 22:36 1.8K 
[   ]elementary-settings-daemon-openrc-8.3.0-r0.apk2025-06-14 20:10 1.8K 
[   ]crowdsec-openrc-1.6.9-r1.apk2025-07-10 21:44 1.8K 
[   ]mkdocs-ivory-pyc-0.4.6-r5.apk2024-10-25 22:32 1.8K 
[   ]prosody-mod-auth_pam-0.11_hg20201208-r0.apk2024-10-25 22:34 1.8K 
[   ]spacectl-zsh-completion-1.12.0-r2.apk2025-07-10 21:45 1.8K 
[   ]udpt-openrc-3.1.2-r0.apk2024-10-25 22:36 1.8K 
[   ]shutdown-clear-machine-id-1.0.0-r0.apk2024-10-25 22:36 1.8K 
[   ]mkdocs-windmill-pyc-1.0.5-r4.apk2024-10-25 22:32 1.8K 
[   ]mkdocs-bootstrap-pyc-1.1.1-r2.apk2024-10-25 22:32 1.8K 
[   ]mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk2024-10-25 22:32 1.8K 
[   ]mkdocs-bootstrap4-pyc-0.1.5-r5.apk2024-10-25 22:32 1.8K 
[   ]gearmand-openrc-1.1.21-r2.apk2025-05-22 08:53 1.8K 
[   ]mkdocs-cluster-pyc-0.0.9-r5.apk2024-10-25 22:32 1.8K 
[   ]neocmakelsp-zsh-completion-0.8.22-r0.apk2025-05-05 10:12 1.8K 
[   ]mkdocs-bootstrap386-pyc-0.0.2-r5.apk2024-10-25 22:32 1.8K 
[   ]mkdocs-gitbook-pyc-0.0.1-r5.apk2024-10-25 22:32 1.8K 
[   ]taskcafe-openrc-0.3.6-r14.apk2025-07-10 21:45 1.8K 
[   ]filebrowser-openrc-2.27.0-r12.apk2025-07-10 21:44 1.8K 
[   ]tremc-zsh-completion-0.9.3-r1.apk2025-05-14 20:13 1.8K 
[   ]irccd-openrc-4.0.3-r0.apk2024-10-25 22:31 1.8K 
[   ]aprilsh-openrc-0.7.12-r6.apk2025-07-10 21:44 1.8K 
[   ]reaction-openrc-2.1.1-r0.apk2025-07-08 22:31 1.8K 
[   ]py3-cython-test-exception-raiser-pyc-1.0.2-r0.apk2024-10-25 22:34 1.8K 
[   ]nvimpager-zsh-completion-0.12.0-r0.apk2024-10-25 22:33 1.8K 
[   ]materia-kde-konsole-20220823-r0.apk2024-10-25 22:32 1.8K 
[   ]py3-sphinx-theme-bw-pyc-0.1.8-r7.apk2024-10-25 22:34 1.8K 
[   ]jackal-openrc-0.64.0-r16.apk2025-07-10 21:45 1.8K 
[   ]battery-limit-openrc-1-r0.apk2025-06-17 12:18 1.8K 
[   ]p910nd-openrc-0.97-r2.apk2024-10-25 22:34 1.8K 
[   ]minidyndns-openrc-1.3.0-r3.apk2024-10-25 22:32 1.8K 
[   ]anubis-openrc-1.20.0-r1.apk2025-07-10 21:44 1.8K 
[   ]pihole-openrc-6.2.3-r0.apk2025-06-20 20:05 1.8K 
[   ]materia-dark-kde-konsole-20220823-r0.apk2024-10-25 22:32 1.8K 
[   ]prosody-mod-broadcast-0.11_hg20201208-r0.apk2024-10-25 22:34 1.9K 
[   ]debconf-bash-completion-1.5.82-r0.apk2024-10-25 22:30 1.9K 
[   ]pimd-dense-openrc-2.1.0-r0.apk2024-10-25 22:34 1.9K 
[   ]speedtest_exporter-openrc-0.3.2-r16.apk2025-07-10 21:45 1.9K 
[   ]tempo-openrc-2.8.1-r1.apk2025-07-10 21:45 1.9K 
[   ]mtg-openrc-2.1.7-r22.apk2025-07-10 21:45 1.9K 
[   ]pacoloco-openrc-1.7-r3.apk2025-07-10 21:45 1.9K 
[   ]tpm2-pkcs11-dev-1.9.1-r0.apk2024-10-25 22:36 1.9K 
[   ]roll-bash-completion-2.6.1-r0.apk2025-05-27 01:03 1.9K 
[   ]upterm-server-openrc-0.14.3-r6.apk2025-07-10 21:45 1.9K 
[   ]olsrd-openrc-0.9.8-r3.apk2024-10-25 22:33 1.9K 
[   ]eiwd-openrc-3.8-r0.apk2025-05-13 19:09 1.9K 
[   ]lizardfs-bash-completion-3.13.0-r17.apk2025-06-19 11:30 1.9K 
[   ]llmnrd-openrc-0.7-r1.apk2024-10-25 22:32 1.9K 
[   ]otrs-openrc-6.0.48-r2.apk2024-10-25 22:34 1.9K 
[   ]prometheus-smartctl-exporter-openrc-0.14.0-r1.apk2025-07-10 21:45 1.9K 
[   ]tang-openrc-15-r0.apk2025-01-20 05:17 1.9K 
[   ]biboumi-openrc-9.0-r8.apk2025-05-28 23:37 1.9K 
[   ]libsirocco-dev-2.1.0-r2.apk2024-10-25 22:32 1.9K 
[   ]icesprog-udev-0_git20240108-r1.apk2024-10-25 22:31 1.9K 
[   ]flowd-openrc-0.9.1-r11.apk2025-06-30 09:03 1.9K 
[   ]minisatip-openrc-1.3.4-r0.apk2024-10-25 22:32 1.9K 
[   ]prometheus-ceph-exporter-openrc-4.2.5-r5.apk2025-07-10 21:45 1.9K 
[   ]satellite-openrc-1.0.0-r29.apk2025-07-10 21:45 1.9K 
[   ]f_scripts-f_game-0.6-r1.apk2024-10-25 22:30 1.9K 
[   ]tremc-bash-completion-0.9.3-r1.apk2025-05-14 20:13 1.9K 
[   ]ntpd-rs-openrc-1.6.0-r0.apk2025-06-27 15:09 1.9K 
[   ]json2tsv-jaq-1.2-r0.apk2024-10-25 22:31 1.9K 
[   ]py3-cryptg-pyc-0.5.0-r0.apk2025-05-08 13:05 1.9K 
[   ]flawz-fish-completion-0.3.0-r0.apk2024-11-03 22:06 1.9K 
[   ]cloudflared-doc-2024.12.1-r7.apk2025-07-10 21:44 1.9K 
[   ]conntracct-openrc-0.2.7-r32.apk2025-07-10 21:44 1.9K 
[   ]openfire-openrc-4.8.1-r1.apk2024-12-03 15:37 1.9K 
[   ]tuned-bash-completion-2.25.1-r2.apk2025-05-28 12:42 1.9K 
[   ]empede-openrc-0.2.3-r0.apk2024-10-25 22:30 1.9K 
[   ]gmcapsule-openrc-0.9.7-r0.apk2025-01-08 20:13 1.9K 
[   ]apt-swarm-openrc-0.5.1-r0.apk2025-05-26 12:55 1.9K 
[   ]daktilo-fish-completion-0.6.0-r0.apk2024-10-25 22:30 1.9K 
[   ]chasquid-openrc-1.15.0-r5.apk2025-07-10 21:44 1.9K 
[   ]perl-dns-unbound-asyncquery-promisexs-0.29-r2.apk2025-06-30 09:03 1.9K 
[   ]thanos-openrc-0.31.0-r14.apk2025-07-10 21:45 1.9K 
[   ]prometheus-ipmi-exporter-openrc-1.8.0-r5.apk2025-05-12 06:04 1.9K 
[   ]bees-openrc-0.10-r2.apk2024-10-25 22:29 1.9K 
[   ]hardinfo2-openrc-2.2.10-r0.apk2025-05-19 00:17 1.9K 
[   ]sthttpd-openrc-2.27.1-r2.apk2024-10-25 22:36 2.0K 
[   ]neocmakelsp-bash-completion-0.8.22-r0.apk2025-05-05 10:12 2.0K 
[   ]prosody-mod-ipcheck-0.11_hg20201208-r0.apk2024-10-25 22:34 2.0K 
[   ]ry-bash-completion-0.5.2-r1.apk2024-10-25 22:35 2.0K 
[   ]halp-fish-completion-0.2.0-r0.apk2024-10-25 22:31 2.0K 
[   ]ma1sd-openrc-2.5.0-r3.apk2024-10-25 22:32 2.0K 
[   ]turn-rs-openrc-3.4.0-r1.apk2025-06-12 15:21 2.0K 
[   ]daemontools-openrc-0.76-r3.apk2024-10-25 22:30 2.0K 
[   ]prometheus-unbound-exporter-openrc-0.4.6-r5.apk2025-05-12 06:04 2.0K 
[   ]exercism-bash-completion-3.2.0-r14.apk2025-07-10 21:44 2.0K 
[   ]tealdeer-bash-completion-1.7.2-r0.apk2025-03-23 14:19 2.0K 
[   ]py3-slidge-style-parser-pyc-0.1.9-r0.apk2025-04-15 03:17 2.0K 
[   ]tempo-vulture-openrc-2.8.1-r1.apk2025-07-10 21:45 2.0K 
[   ]maddy-openrc-0.8.1-r4.apk2025-07-10 21:45 2.0K 
[   ]py3-lzo-pyc-1.16-r1.apk2024-10-25 22:34 2.0K 
[   ]hamster-time-tracker-bash-completion-3.0.3-r2.apk2024-10-25 22:31 2.0K 
[   ]nvim-cmp-path-doc-0.0.0_git20221002-r1.apk2024-10-25 22:33 2.0K 
[   ]downloader-cli-0.3.4-r2.apk2025-05-14 20:13 2.0K 
[   ]lizardfs-cgiserv-openrc-3.13.0-r17.apk2025-06-19 11:30 2.0K 
[   ]xisxwayland-doc-2-r1.apk2024-10-25 22:37 2.0K 
[   ]stayrtr-openrc-0.6.2-r5.apk2025-07-10 21:45 2.0K 
[   ]spampd-openrc-2.62-r0.apk2025-02-25 23:28 2.0K 
[   ]nmap-parse-output-bash-completion-1.5.1-r1.apk2025-05-29 13:59 2.0K 
[   ]py3-sphinx-theme-better-pyc-0.1.5-r7.apk2024-10-25 22:34 2.0K 
[   ]agate-openrc-3.3.8-r0.apk2024-10-25 22:29 2.0K 
[   ]geomyidae-openrc-0.34-r2.apk2024-10-25 22:31 2.0K 
[   ]msh-openrc-2.5.0-r13.apk2025-07-10 21:45 2.0K 
[   ]py3-keepalive-doc-0.5-r5.apk2024-10-25 22:34 2.0K 
[   ]moosefs-cgiserv-openrc-4.56.6-r2.apk2025-06-19 11:30 2.0K 
[   ]prosody-mod-reload_modules-0.11_hg20201208-r0.apk2024-10-25 22:34 2.0K 
[   ]gotify-openrc-2.5.0-r7.apk2025-07-10 21:44 2.0K 
[   ]projectm-pulseaudio-doc-3.1.12-r2.apk2024-10-25 22:34 2.0K 
[   ]66-tools-dev-0.1.2.0-r0.apk2025-06-01 17:50 2.0K 
[   ]prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk2024-10-25 22:34 2.0K 
[   ]usbmuxd-udev-1.1.1-r9.apk2025-04-18 16:39 2.0K 
[   ]alps-openrc-0_git20230807-r13.apk2025-07-10 21:44 2.0K 
[   ]prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk2024-10-25 22:34 2.0K 
[   ]dnote-zsh-completion-0.15.1-r6.apk2025-07-10 21:44 2.0K 
[   ]py3-editdistance-s-pyc-1.0.0-r6.apk2024-10-25 22:34 2.0K 
[   ]prosody-mod-support_contact-0.11_hg20201208-r0.apk2024-10-25 22:34 2.0K 
[   ]prosody-mod-stanza_counter-0.11_hg20201208-r0.apk2024-10-25 22:34 2.0K 
[   ]openswitcher-proxy-openrc-0.5.0-r4.apk2024-10-25 22:34 2.0K 
[   ]thelounge-openrc-4.4.3-r0.apk2024-10-25 22:36 2.0K 
[   ]nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk2024-10-25 22:33 2.0K 
[   ]clevis-bash-completion-21-r0.apk2025-01-20 05:17 2.0K 
[   ]cortex-tenant-openrc-1.15.2-r7.apk2025-07-10 21:44 2.0K 
[   ]atool-bash-completion-0.39.0-r4.apk2024-10-25 22:29 2.0K 
[   ]utop-common-2.9.1-r4.apk2024-10-25 22:36 2.0K 
[   ]libuninameslist-doc-20230916-r0.apk2024-10-25 22:32 2.0K 
[   ]spacectl-bash-completion-1.12.0-r2.apk2025-07-10 21:45 2.1K 
[   ]firehol-openrc-3.1.7-r2.apk2024-10-25 22:30 2.1K 
[   ]lomiri-indicator-network-doc-1.1.1-r0.apk2025-05-09 19:32 2.1K 
[   ]ssh-honeypot-openrc-0.1.1-r1.apk2024-10-25 22:36 2.1K 
[   ]docker-auth-openrc-1.13.0-r5.apk2025-07-10 21:44 2.1K 
[   ]sdl3_image-doc-3.2.4-r0.apk2025-03-24 21:18 2.1K 
[   ]stalwart-mail-openrc-0.12.5-r0.apk2025-06-25 21:04 2.1K 
[   ]sing-box-openrc-1.11.15-r0.apk2025-07-10 21:45 2.1K 
[   ]py3-svglib-doc-1.5.1-r0.apk2025-06-10 20:51 2.1K 
[   ]nzbget-openrc-25.2-r0.apk2025-07-05 00:10 2.1K 
[   ]zapret-openrc-0.0.0_git20220125-r1.apk2024-10-25 22:37 2.1K 
[   ]dasht-zsh-completion-2.4.0-r0.apk2024-10-25 22:30 2.1K 
[   ]prometheus-opnsense-exporter-openrc-0.0.8-r1.apk2025-07-10 21:45 2.1K 
[   ]zot-openrc-2.1.2-r5.apk2025-07-10 21:46 2.1K 
[   ]pithos-doc-1.6.1-r0.apk2024-10-25 22:34 2.1K 
[   ]dnote-bash-completion-0.15.1-r6.apk2025-07-10 21:44 2.1K 
[   ]viewnior-doc-1.8-r1.apk2024-10-25 22:36 2.1K 
[   ]fildesh-doc-0.2.0-r0.apk2024-10-25 22:30 2.1K 
[   ]kondo-bash-completion-0.8-r0.apk2024-10-25 22:31 2.1K 
[   ]cherrytree-doc-1.4.0-r0.apk2025-03-26 18:41 2.1K 
[   ]kondo-fish-completion-0.8-r0.apk2024-10-25 22:31 2.1K 
[   ]py3-swagger-ui-bundle-pyc-1.1.0-r1.apk2024-10-25 22:34 2.1K 
[   ]oauth2-proxy-openrc-7.8.1-r3.apk2025-07-10 21:45 2.1K 
[   ]exercism-zsh-completion-3.2.0-r14.apk2025-07-10 21:44 2.1K 
[   ]dstask-bash-completion-0.26-r15.apk2025-07-10 21:44 2.1K 
[   ]xkb-switch-doc-1.8.5-r1.apk2025-05-14 20:13 2.1K 
[   ]f_scripts-f_maps-0.6-r1.apk2024-10-25 22:30 2.1K 
[   ]flawz-bash-completion-0.3.0-r0.apk2024-11-03 22:06 2.1K 
[   ]ytmdl-zsh-completion-2024.08.15.1-r1.apk2025-05-14 20:13 2.1K 
[   ]lxd-feature-scripts-5.20-r12.apk2025-07-10 21:45 2.1K 
[   ]mdnsd-openrc-0.12-r1.apk2024-10-25 22:32 2.1K 
[   ]hitide-openrc-0.15.0-r0.apk2024-10-25 22:31 2.1K 
[   ]qtpass-doc-1.4.0-r0.apk2024-10-25 22:34 2.1K 
[   ]mdcat-fish-completion-2.7.1-r0.apk2024-12-14 19:04 2.1K 
[   ]py3-pypubsub-doc-4.0.3-r0.apk2024-10-25 22:34 2.1K 
[   ]ydcv-zsh-completion-0.7-r8.apk2024-10-25 22:37 2.2K 
[   ]planner-doc-0.14.92-r1.apk2024-12-08 22:41 2.2K 
[   ]fbcur-doc-1.0.1-r1.apk2024-10-25 22:30 2.2K 
[   ]daktilo-bash-completion-0.6.0-r0.apk2024-10-25 22:30 2.2K 
[   ]libideviceactivation-doc-1.1.1-r5.apk2024-10-30 23:45 2.2K 
[   ]nano-hare-0_git20231021-r0.apk2024-10-25 22:32 2.2K 
[   ]prosody-mod-log_slow_events-0.11_hg20201208-r0.apk2024-10-25 22:34 2.2K 
[   ]halp-bash-completion-0.2.0-r0.apk2024-10-25 22:31 2.2K 
[   ]pihole-bash-completion-6.2.3-r0.apk2025-06-20 20:05 2.2K 
[   ]flare-game-1.14-r0.apk2024-10-25 22:30 2.2K 
[   ]hddfancontrol-openrc-1.6.2-r0.apk2024-10-25 22:31 2.2K 
[   ]adguardhome-openrc-0.107.62-r1.apk2025-07-10 21:44 2.2K 
[   ]exabgp-openrc-4.2.24-r0.apk2025-03-19 14:12 2.2K 
[   ]raku-cro-tls-doc-0.8.10-r0.apk2025-06-07 09:42 2.2K 
[   ]porla-doc-0.41.0-r2.apk2025-02-24 15:34 2.2K 
[   ]greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk2024-10-25 22:31 2.2K 
[   ]mint-themes-2.1.1-r0.apk2024-10-25 22:32 2.2K 
[   ]py3-uacme-desec-doc-1.2.1-r0.apk2024-10-25 22:34 2.2K 
[   ]wl-ime-type-doc-0.1.1-r0.apk2025-04-15 03:17 2.2K 
[   ]syncthing-gtk-doc-0.9.4.5-r2.apk2024-10-25 22:36 2.2K 
[   ]mdcat-bash-completion-2.7.1-r0.apk2024-12-14 19:04 2.2K 
[   ]mml-fish-completion-1.0.0-r0.apk2025-01-30 19:22 2.2K 
[   ]tealdeer-fish-completion-1.7.2-r0.apk2025-03-23 14:19 2.2K 
[   ]py3-sphinx-theme-readable-pyc-1.3.0-r9.apk2024-10-25 22:34 2.2K 
[   ]wpa_actiond-openrc-1.4-r7.apk2024-10-25 22:37 2.2K 
[   ]py3-phx-class-registry-doc-5.0.0-r0.apk2024-10-25 22:34 2.2K 
[   ]ddgr-bash-completion-2.2-r0.apk2024-10-25 22:30 2.2K 
[   ]openrdap-doc-0.9.1-r0.apk2025-06-24 17:50 2.2K 
[   ]py3-flake8-todo-pyc-0.7-r7.apk2024-10-25 22:34 2.2K 
[   ]ruby-minitest-power_assert-0.3.1-r0.apk2025-07-06 20:54 2.2K 
[   ]svls-doc-0.2.12-r0.apk2024-10-25 22:36 2.2K 
[   ]flawz-zsh-completion-0.3.0-r0.apk2024-11-03 22:06 2.2K 
[   ]walk-doc-1.13.0-r4.apk2025-07-10 21:45 2.2K 
[   ]mml-bash-completion-1.0.0-r0.apk2025-01-30 19:22 2.2K 
[   ]ruri-doc-3.8-r0.apk2025-06-24 04:43 2.2K 
[   ]hyx-doc-2024.02.29-r0.apk2024-10-25 22:31 2.2K 
[   ]net-predictable-doc-1.5.1-r1.apk2025-07-10 21:45 2.2K 
[   ]py3-rst2ansi-doc-0.1.5-r0.apk2024-10-25 22:34 2.2K 
[   ]pacoloco-doc-1.7-r3.apk2025-07-10 21:45 2.2K 
[   ]stardict-doc-3.0.6-r6.apk2024-10-25 22:36 2.2K 
[   ]asdf-doc-0.18.0-r1.apk2025-07-10 21:44 2.2K 
[   ]gost-doc-3.1.0-r1.apk2025-07-10 21:44 2.2K 
[   ]ruby-hashdiff-doc-1.1.1-r1.apk2025-04-23 22:23 2.2K 
[   ]edit-doc-1.2.0-r0.apk2025-06-12 15:21 2.2K 
[   ]lefthook-doc-1.11.14-r1.apk2025-07-10 21:45 2.2K 
[   ]helmfile-doc-1.1.2-r1.apk2025-07-10 21:44 2.2K 
[   ]tmpl-doc-0.4.0-r12.apk2025-07-10 21:45 2.2K 
[   ]webhookd-openrc-1.20.2-r1.apk2025-07-10 21:45 2.2K 
[   ]helm-ls-doc-0.0.12-r10.apk2025-07-10 21:44 2.2K 
[   ]motion-openrc-4.7.0-r0.apk2024-10-25 22:32 2.3K 
[   ]remco-doc-0.12.5-r1.apk2025-07-10 21:45 2.3K 
[   ]httplz-doc-2.2.0-r0.apk2025-05-18 17:38 2.3K 
[   ]imgdiff-doc-1.0.2-r27.apk2025-07-10 21:45 2.3K 
[   ]anubis-doc-1.20.0-r1.apk2025-07-10 21:44 2.3K 
[   ]katana-doc-1.1.3-r2.apk2025-07-10 21:45 2.3K 
[   ]webhookd-doc-1.20.2-r1.apk2025-07-10 21:45 2.3K 
[   ]py3-deluge-client-doc-1.10.2-r0.apk2024-10-25 22:34 2.3K 
[   ]dbmate-doc-2.26.0-r3.apk2025-07-10 21:44 2.3K 
[   ]py3-python-logstash-doc-0.4.8-r4.apk2024-10-25 22:34 2.3K 
[   ]ruby-dry-inflector-doc-1.1.0-r1.apk2025-04-23 22:23 2.3K 
[   ]ry-zsh-completion-0.5.2-r1.apk2024-10-25 22:35 2.3K 
[   ]tabby-doc-3.1-r1.apk2024-10-25 22:36 2.3K 
[   ]goshs-doc-1.1.0-r1.apk2025-07-10 21:44 2.3K 
[   ]slurm-doc-0.4.4-r0.apk2024-10-25 22:36 2.3K 
[   ]gamja-doc-1.0.0_beta11-r0.apk2025-06-14 20:10 2.3K 
[   ]daktilo-zsh-completion-0.6.0-r0.apk2024-10-25 22:30 2.3K 
[   ]go-away-openrc-0.7.0-r0.apk2025-06-10 19:47 2.3K 
[   ]ruby-event_emitter-doc-0.2.6-r0.apk2025-05-26 02:00 2.3K 
[   ]gx-go-doc-1.9.0-r33.apk2025-07-10 21:44 2.3K 
[   ]dufs-bash-completion-0.43.0-r0.apk2025-01-23 19:56 2.3K 
[   ]ruby-benchmark-ips-doc-2.14.0-r0.apk2025-05-26 01:54 2.3K 
[   ]tfupdate-doc-0.8.2-r7.apk2025-07-10 21:45 2.3K 
[   ]tree-sitter-yaml-doc-0.7.1-r0.apk2025-05-23 07:05 2.3K 
[   ]drogon-doc-1.9.4-r2.apk2025-05-22 08:53 2.3K 
[   ]emacs-lsp-booster-doc-0.2.1-r0.apk2025-04-15 03:16 2.3K 
[   ]gx-doc-0.14.3-r31.apk2025-07-10 21:44 2.3K 
[   ]tree-sitter-pascal-doc-0.9.1-r0.apk2024-10-25 22:36 2.3K 
[   ]copyq-bash-completion-10.0.0-r0.apk2025-06-25 15:55 2.3K 
[   ]ytmdl-bash-completion-2024.08.15.1-r1.apk2025-05-14 20:13 2.3K 
[   ]zizmor-doc-1.10.0-r0.apk2025-06-28 19:37 2.3K 
[   ]naabu-doc-2.3.4-r3.apk2025-07-10 21:45 2.3K 
[   ]cyrus-sasl-xoauth2-doc-0.2-r1.apk2024-10-25 22:30 2.3K 
[   ]httpx-doc-1.7.0-r3.apk2025-07-10 21:45 2.3K 
[   ]dnscontrol-doc-4.21.0-r1.apk2025-07-10 21:44 2.3K 
[   ]ergo-ldap-doc-0.0.1-r18.apk2025-07-10 21:44 2.3K 
[   ]spacectl-doc-1.12.0-r2.apk2025-07-10 21:45 2.3K 
[   ]ruby-coderay-doc-1.1.3-r0.apk2025-05-26 01:56 2.3K 
[   ]ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk2024-10-25 22:36 2.3K 
[   ]empede-doc-0.2.3-r0.apk2024-10-25 22:30 2.3K 
[   ]tree-sitter-caddy-doc-0_git20230322-r0.apk2024-10-25 22:36 2.3K 
[   ]hurl-bash-completion-6.1.1-r0.apk2025-05-03 19:29 2.3K 
[   ]php81-pecl-imagick-dev-3.8.0-r0.apk2025-04-10 22:03 2.3K 
[   ]vectoroids-doc-1.1.0-r2.apk2024-10-25 22:36 2.3K 
[   ]bootterm-dbg-0.5-r0.apk2024-10-25 22:29 2.3K 
[   ]powerstat-bash-completion-0.04.01-r0.apk2024-10-25 22:34 2.3K 
[   ]faultstat-bash-completion-0.01.11-r0.apk2024-10-25 22:30 2.3K 
[   ]pnmixer-doc-0.7.2-r3.apk2024-10-25 22:34 2.3K 
[   ]bgs-doc-0.8-r1.apk2024-10-25 22:29 2.3K 
[   ]ddgr-fish-completion-2.2-r0.apk2024-10-25 22:30 2.3K 
[   ]dublin-traceroute-doc-0.4.2-r4.apk2024-10-25 22:30 2.3K 
[   ]thelounge-doc-4.4.3-r0.apk2024-10-25 22:36 2.3K 
[   ]perl-promise-es6-future-0.28-r0.apk2024-10-25 22:34 2.3K 
[   ]httpie-oauth-pyc-1.0.2-r9.apk2024-10-25 22:31 2.3K 
[   ]ruby-appraisal-doc-2.5.0-r0.apk2025-05-26 01:52 2.3K 
[   ]libtins-doc-4.5-r1.apk2024-10-25 22:32 2.3K 
[   ]slidge-openrc-0.1.3-r0.apk2024-10-25 22:36 2.3K 
[   ]tealdeer-zsh-completion-1.7.2-r0.apk2025-03-23 14:19 2.3K 
[   ]theme.sh-doc-1.1.5-r0.apk2024-10-25 22:36 2.3K 
[   ]maxima-bash-completion-5.47.0-r9.apk2025-07-07 23:30 2.3K 
[   ]mint-x-theme-2.1.1-r0.apk2024-10-25 22:32 2.3K 
[   ]json2tsv-jaq-doc-1.2-r0.apk2024-10-25 22:31 2.3K 
[   ]mpdris2-lang-0.9.1-r3.apk2024-10-25 22:32 2.3K 
[   ]raku-protocol-mqtt-doc-0.0.4-r0.apk2025-06-08 18:40 2.3K 
[   ]ifuse-doc-1.1.4-r5.apk2024-10-30 23:45 2.3K 
[   ]perl-dns-unbound-anyevent-0.29-r2.apk2025-06-30 09:03 2.3K 
[   ]perl-uri-ws-0.03-r0.apk2025-03-19 14:13 2.3K 
[   ]py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk2024-10-25 22:34 2.3K 
[   ]lutris-doc-0.5.19-r0.apk2025-05-26 13:08 2.3K 
[   ]dufs-fish-completion-0.43.0-r0.apk2025-01-23 19:56 2.3K 
[   ]exercism-fish-completion-3.2.0-r14.apk2025-07-10 21:44 2.4K 
[   ]pongoos-loader-0_git20210704-r1.apk2024-10-25 22:34 2.4K 
[   ]gaupol-doc-1.12-r2.apk2024-10-25 22:31 2.4K 
[   ]river-shifttags-doc-0.2.1-r1.apk2025-05-12 23:26 2.4K 
[   ]soapy-sdr-remote-doc-0.5.2-r1.apk2024-10-25 22:36 2.4K 
[   ]f_scripts-f_timer-0.6-r1.apk2024-10-25 22:30 2.4K 
[   ]clipit-doc-1.4.5-r3.apk2024-10-25 22:30 2.4K 
[   ]raku-cro-core-doc-0.8.10-r0.apk2025-06-07 09:42 2.4K 
[   ]btfs-doc-2.24-r12.apk2024-10-25 22:29 2.4K 
[   ]maddy-doc-0.8.1-r4.apk2025-07-10 21:45 2.4K 
[   ]ptpd-openrc-2.3.1-r1.apk2024-10-25 22:34 2.4K 
[   ]openwsman-doc-2.8.1-r1.apk2025-06-30 09:03 2.4K 
[   ]py3-mnemonic-doc-0.21-r0.apk2024-10-25 22:34 2.4K 
[   ]halp-zsh-completion-0.2.0-r0.apk2024-10-25 22:31 2.4K 
[   ]otrs-bash-completion-6.0.48-r2.apk2024-10-25 22:34 2.4K 
[   ]raku-digest-sha1-native-doc-0.06-r0.apk2025-06-08 18:40 2.4K 
[   ]gliderlabs-sigil-doc-0.11.0-r6.apk2025-07-10 21:44 2.4K 
[   ]policycoreutils-bash-completion-3.6-r1.apk2024-10-25 22:34 2.4K 
[   ]ocp-indent-vim-1.8.2-r2.apk2024-10-25 22:33 2.4K 
[   ]wl-screenrec-bash-completion-0.1.7-r0.apk2025-04-15 03:17 2.4K 
[   ]lxd-feature-openrc-5.20-r12.apk2025-07-10 21:45 2.4K 
[   ]perl-dns-unbound-ioasync-0.29-r2.apk2025-06-30 09:03 2.4K 
[   ]somebar-doc-1.0.3-r0.apk2024-10-25 22:36 2.4K 
[   ]xdg-desktop-portal-hyprland-doc-1.3.3-r0.apk2024-10-25 22:37 2.4K 
[   ]mdcat-zsh-completion-2.7.1-r0.apk2024-12-14 19:04 2.4K 
[   ]py3-flask-headers-pyc-1.0-r9.apk2024-10-25 22:34 2.5K 
[   ]ideviceinstaller-doc-1.1.1-r4.apk2024-10-30 23:45 2.5K 
[   ]kondo-zsh-completion-0.8-r0.apk2024-10-25 22:31 2.5K 
[   ]libcotp-dev-3.1.0-r0.apk2024-10-25 22:32 2.5K 
[   ]lsdvd-doc-0.17-r0.apk2024-10-25 22:32 2.5K 
[   ]flare-engine-doc-1.14-r0.apk2024-10-25 22:30 2.5K 
[   ]py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk2024-10-25 22:34 2.5K 
[   ]seed7-nano-05.20240322-r0.apk2024-10-25 22:36 2.5K 
[   ]frescobaldi-doc-3.3.0-r1.apk2024-10-25 22:30 2.5K 
[   ]beard-doc-0.4-r0.apk2024-10-25 22:29 2.5K 
[   ]mktorrent-borg-doc-0.9.9-r1.apk2024-10-25 22:32 2.5K 
[   ]ghq-zsh-completion-1.8.0-r2.apk2025-07-10 21:44 2.5K 
[   ]bwrap-oci-doc-0.2-r1.apk2024-10-25 22:29 2.5K 
[   ]ouch-bash-completion-0.6.1-r0.apk2025-05-28 09:37 2.5K 
[   ]xfce4-mixer-doc-4.18.1-r2.apk2024-10-25 22:37 2.5K 
[   ]perl-plack-middleware-removeredundantbody-0.09-r0.apk2024-12-27 15:02 2.5K 
[   ]xlhtml-doc-0.5.1-r0.apk2024-10-25 22:37 2.5K 
[   ]perl-promise-es6-anyevent-0.28-r0.apk2024-10-25 22:34 2.5K 
[   ]tcmu-runner-doc-1.6.0-r6.apk2024-10-25 22:36 2.5K 
[   ]tup-vim-0.7.11-r1.apk2025-06-19 11:30 2.5K 
[   ]hyfetch-zsh-completion-1.99.0-r1.apk2024-10-25 22:31 2.5K 
[   ]raku-docker-file-doc-1.1-r0.apk2025-06-07 09:42 2.5K 
[   ]py3-eradicate-doc-2.3.0-r2.apk2024-10-25 22:34 2.5K 
[   ]freshrss-openrc-1.23.1-r1.apk2024-10-25 22:30 2.5K 
[   ]pully-1.0.0-r0.apk2024-10-25 22:34 2.5K 
[   ]stw-doc-0.3-r0.apk2024-10-25 22:36 2.5K 
[   ]f_scripts-f_rss-0.6-r1.apk2024-10-25 22:30 2.5K 
[   ]flann-doc-1.9.2-r1.apk2025-02-14 16:28 2.5K 
[   ]idevicerestore-doc-1.0.0-r4.apk2024-10-30 23:45 2.5K 
[   ]perl-datetime-timezone-alias-0.06-r0.apk2024-10-25 22:34 2.5K 
[   ]lxd-openrc-5.0.3-r12.apk2025-07-10 21:45 2.5K 
[   ]raku-crypt-random-doc-0.4.1-r0.apk2025-06-07 09:42 2.5K 
[   ]xgalaga-doc-2.1.1.0-r1.apk2024-10-25 22:37 2.5K 
[   ]ghq-fish-completion-1.8.0-r2.apk2025-07-10 21:44 2.5K 
[   ]alarmwakeup-dev-0.2.1-r0.apk2024-10-25 22:29 2.6K 
[   ]f_scripts-f_theme-0.6-r1.apk2024-10-25 22:30 2.6K 
[   ]fuseiso-doc-20070708-r0.apk2025-04-15 03:16 2.6K 
[   ]py3-flake8-blind-except-pyc-0.2.1-r4.apk2024-10-25 22:34 2.6K 
[   ]ol-doc-2.6-r0.apk2025-05-01 08:21 2.6K 
[   ]perl-class-unload-0.11-r0.apk2025-06-04 19:22 2.6K 
[   ]perl-promise-es6-mojo-ioloop-0.28-r0.apk2024-10-25 22:34 2.6K 
[   ]scooper-doc-1.3-r1.apk2024-10-25 22:35 2.6K 
[   ]xcompmgr-doc-1.1.10-r0.apk2025-06-28 13:24 2.6K 
[   ]py3-unidns-examples-0.0.1-r3.apk2025-05-29 13:59 2.6K 
[   ]py3-bottle-request-pyc-0.2.0-r9.apk2024-10-25 22:34 2.6K 
[   ]faust-vim-2.79.3-r0.apk2025-06-07 19:02 2.6K 
[   ]perl-tie-toobject-0.03-r0.apk2025-03-19 14:13 2.6K 
[   ]py3-modern_colorthief-pyc-0.1.7-r0.apk2025-06-16 19:58 2.6K 
[   ]nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk2024-10-25 22:33 2.6K 
[   ]lxappearance-doc-0.6.3-r3.apk2024-10-25 22:32 2.6K 
[   ]scratch-doc-1.4.0.7-r1.apk2024-10-25 22:35 2.6K 
[   ]keydb-openrc-6.3.4-r0.apk2024-10-25 22:31 2.6K 
[   ]trantor-doc-1.5.18-r0.apk2024-10-25 22:36 2.6K 
[   ]py3-print-color-doc-0.4.6-r0.apk2024-10-25 22:34 2.6K 
[   ]xsoldier-doc-1.8-r2.apk2024-10-25 22:37 2.6K 
[   ]py3-visitor-pyc-0.1.3-r7.apk2024-10-25 22:34 2.6K 
[   ]raku-if-doc-0.1.3-r0.apk2025-06-07 09:42 2.6K 
[   ]nsnake-doc-3.0.0-r0.apk2024-10-25 22:33 2.6K 
[   ]py3-luhn-pyc-0.2.0-r9.apk2024-10-25 22:34 2.6K 
[   ]prosody-mod-webpresence-0.11_hg20201208-r0.apk2024-10-25 22:34 2.6K 
[   ]prosody-mod-auth_sql-0.11_hg20201208-r0.apk2024-10-25 22:34 2.6K 
[   ]sxcs-doc-1.1.0-r0.apk2024-10-25 22:36 2.6K 
[   ]colormake-doc-0.9.20170221-r0.apk2024-10-25 22:30 2.7K 
[   ]perl-dns-unbound-mojo-0.29-r2.apk2025-06-30 09:03 2.7K 
[   ]wch-isp-doc-0.4.1-r2.apk2024-10-25 22:37 2.7K 
[   ]dufs-zsh-completion-0.43.0-r0.apk2025-01-23 19:56 2.7K 
[   ]endlessh-doc-1.1-r1.apk2025-05-25 09:50 2.7K 
[   ]prosody-mod-vcard_muc-0.11_hg20201208-r0.apk2024-10-25 22:34 2.7K 
[   ]lomiri-terminal-app-doc-2.0.5-r0.apk2025-05-09 19:32 2.7K 
[   ]hardinfo2-doc-2.2.10-r0.apk2025-05-19 00:17 2.7K 
[   ]perl-catalyst-manual-5.9013-r0.apk2025-04-04 07:22 2.7K 
[   ]porla-openrc-0.41.0-r2.apk2025-02-24 15:34 2.7K 
[   ]ddgr-zsh-completion-2.2-r0.apk2024-10-25 22:30 2.7K 
[   ]libnih-doc-1.0.3-r7.apk2024-10-25 22:32 2.7K 
[   ]prosody-mod-register_redirect-0.11_hg20201208-r0.apk2024-10-25 22:34 2.7K 
[   ]perl-uri-tcp-2.0.0-r0.apk2024-10-25 22:34 2.7K 
[   ]ip2location-doc-8.6.1-r0.apk2024-10-25 22:31 2.7K 
[   ]quodlibet-zsh-completion-4.6.0-r2.apk2025-05-12 23:26 2.7K 
[   ]perl-template-plugin-csv-0.04-r3.apk2024-10-25 22:34 2.7K 
[   ]perl-catalyst-component-instancepercontext-0.001001-r1.apk2025-06-19 12:55 2.7K 
[   ]perl-net-curl-promiser-anyevent-0.20-r0.apk2024-10-25 22:34 2.7K 
[   ]h4h5tools-doc-2.2.5-r4.apk2024-10-25 22:31 2.7K 
[   ]perl-rxperl-anyevent-6.8.1-r0.apk2024-10-25 22:34 2.7K 
[   ]pomo-doc-0.8.1-r24.apk2025-07-10 21:45 2.7K 
[   ]raku-json-optin-doc-0.0.2-r0.apk2025-06-07 09:42 2.7K 
[   ]b2sum-doc-20190729-r2.apk2024-10-25 22:29 2.7K 
[   ]perl-time-moment-role-strptime-0.001-r0.apk2024-10-25 22:34 2.7K 
[   ]rsstail-doc-2.2-r0.apk2025-02-13 08:17 2.8K 
[   ]apulse-doc-0.1.13-r2.apk2024-10-25 22:29 2.8K 
[   ]py3-utc-pyc-0.0.3-r9.apk2024-10-25 22:34 2.8K 
[   ]barnyard2-openrc-2.1.14_git20160413-r1.apk2024-10-25 22:29 2.8K 
[   ]timew-bash-completion-1.4.3-r1.apk2024-10-25 22:36 2.8K 
[   ]mml-zsh-completion-1.0.0-r0.apk2025-01-30 19:22 2.8K 
[   ]lfm-doc-3.1-r4.apk2024-10-25 22:31 2.8K 
[   ]perl-data-binary-0.01-r0.apk2025-07-10 21:45 2.8K 
[   ]prosody-mod-server_status-0.11_hg20201208-r0.apk2024-10-25 22:34 2.8K 
[   ]raku-base64-doc-0.1.0-r0.apk2025-06-07 09:42 2.8K 
[   ]kirc-doc-0.3.3-r0.apk2025-02-22 12:09 2.8K 
[   ]gatling-openrc-0.16-r6.apk2024-10-25 22:31 2.8K 
[   ]dfu-programmer-bash-completion-1.1.0-r0.apk2024-10-25 22:30 2.8K 
[   ]raku-json-name-doc-0.0.7-r0.apk2025-06-07 09:42 2.8K 
[   ]nvim-gruvbox-doc-0.0.0_git20221212-r1.apk2024-10-25 22:33 2.8K 
[   ]perl-moosex-relatedclassroles-0.004-r0.apk2025-05-01 08:30 2.8K 
[   ]nb-fish-completion-7.19.1-r0.apk2025-05-25 00:10 2.8K 
[   ]py3-pytap2-doc-2.3.0-r0.apk2024-10-25 22:34 2.8K 
[   ]py3-pytest-home-pyc-0.6.0-r0.apk2024-10-25 22:34 2.8K 
[   ]f_scripts-f_youtube-0.6-r1.apk2024-10-25 22:30 2.8K 
[   ]mangr0ve-0.1.2-r0.apk2024-10-25 22:32 2.8K 
[   ]perl-plack-test-externalserver-0.02-r0.apk2025-03-19 14:13 2.8K 
[   ]prosody-mod-auth_ldap-0.11_hg20201208-r0.apk2024-10-25 22:34 2.8K 
[   ]logtop-doc-0.7-r0.apk2024-10-25 22:32 2.8K 
[   ]git-extras-bash-completion-7.3.0-r0.apk2024-11-17 13:49 2.8K 
[   ]py3-flask-gzip-pyc-0.2-r8.apk2024-10-25 22:34 2.8K 
[   ]perl-rxperl-ioasync-6.9.1-r0.apk2024-10-25 22:34 2.8K 
[   ]prosody-mod-host_guard-0.11_hg20201208-r0.apk2024-10-25 22:34 2.8K 
[   ]perl-rxperl-mojo-6.8.2-r0.apk2024-10-25 22:34 2.8K 
[   ]hunspell-fr-doc-7.0-r0.apk2025-06-13 16:32 2.8K 
[   ]py3-timeago-doc-1.0.16-r0.apk2024-10-25 22:34 2.8K 
[   ]coccinelle-bash-completion-1.1.1-r2.apk2024-10-25 22:30 2.9K 
[   ]tremc-doc-0.9.3-r1.apk2025-05-14 20:13 2.9K 
[   ]66-tools-nsrules-0.1.2.0-r0.apk2025-06-01 17:50 2.9K 
[   ]raku-io-path-childsecure-doc-1.2-r0.apk2025-06-07 09:42 2.9K 
[   ]dublin-traceroute-contrib-0.4.2-r4.apk2024-10-25 22:30 2.9K 
[   ]pounce-openrc-3.1-r3.apk2024-10-25 22:34 2.9K 
[   ]py3-pytaglib-pyc-3.0.0-r0.apk2025-01-26 20:32 2.9K 
[   ]sacc-doc-1.07-r0.apk2024-10-25 22:35 2.9K 
[   ]zsh-manydots-magic-0_git20230607-r1.apk2024-10-25 22:37 2.9K 
[   ]lomiri-location-service-doc-3.3.0-r4.apk2025-06-26 16:20 2.9K 
[   ]git-quick-stats-doc-2.5.8-r0.apk2024-10-25 22:31 2.9K 
[   ]pxmenu-1.0.0-r1.apk2024-10-25 22:34 2.9K 
[   ]createrepo_c-bash-completion-1.1.4-r0.apk2024-10-25 22:30 2.9K 
[   ]desed-doc-1.2.1-r1.apk2024-10-25 22:30 2.9K 
[   ]mpdcron-zsh-completion-0.3-r1.apk2024-10-25 22:32 2.9K 
[   ]pxalarm-3.0.0-r0.apk2024-10-25 22:34 2.9K 
[   ]pamtester-doc-0.1.2-r4.apk2024-10-25 22:34 2.9K 
[   ]prosody-mod-http_upload_external-0.11_hg20201208-r0.apk2024-10-25 22:34 2.9K 
[   ]f_scripts-f_web-0.6-r1.apk2024-10-25 22:30 2.9K 
[   ]raku-oo-monitors-doc-1.1.5-r0.apk2025-06-07 09:42 2.9K 
[   ]nkk-dev-0_git20221010-r0.apk2024-10-25 22:33 2.9K 
[   ]restic.mk-0.4.0-r0.apk2024-10-25 22:34 2.9K 
[   ]libvisio2svg-dev-0.5.5-r3.apk2024-10-25 22:32 2.9K 
[   ]vcsh-bash-completion-2.0.5-r0.apk2024-10-25 22:36 2.9K 
[   ]f_scripts-f_files-0.6-r1.apk2024-10-25 22:30 2.9K 
[   ]remake-dev-1.5-r1.apk2024-10-25 22:34 2.9K 
[   ]vcsh-zsh-completion-2.0.5-r0.apk2024-10-25 22:36 2.9K 
[   ]makedumpfile-openrc-1.7.7-r0.apk2025-04-22 16:31 2.9K 
[   ]wl-clipboard-x11-doc-5-r3.apk2024-10-25 22:37 2.9K 
[   ]nb-zsh-completion-7.19.1-r0.apk2025-05-25 00:10 2.9K 
[   ]perl-task-catalyst-4.02-r0.apk2025-06-15 06:46 3.0K 
[   ]rkdeveloptool-doc-1.1.0-r1.apk2024-10-25 22:34 3.0K 
[   ]raku-datetime-parse-doc-0.9.3-r0.apk2025-06-07 09:42 3.0K 
[   ]rke-doc-1.4.3-r16.apk2025-07-10 21:45 3.0K 
[   ]perl-cache-lru-0.04-r0.apk2024-10-25 22:34 3.0K 
[   ]perl-promise-es6-io-async-0.28-r0.apk2024-10-25 22:34 3.0K 
[   ]libabigail-bash-completion-2.3-r0.apk2024-10-25 22:31 3.0K 
[   ]gtklock-doc-4.0.0-r0.apk2025-01-31 17:17 3.0K 
[   ]isomd5sum-doc-1.2.3-r3.apk2024-10-25 22:31 3.0K 
[   ]hyprpolkitagent-doc-0.1.2-r0.apk2025-05-24 22:02 3.0K 
[   ]lynis-bash-completion-3.1.1-r0.apk2024-10-25 22:32 3.0K 
[   ]perl-net-curl-promiser-ioasync-0.20-r0.apk2024-10-25 22:34 3.0K 
[   ]p910nd-doc-0.97-r2.apk2024-10-25 22:34 3.0K 
[   ]nb-bash-completion-7.19.1-r0.apk2025-05-25 00:10 3.0K 
[   ]hatop-doc-0.8.2-r0.apk2024-10-25 22:31 3.0K 
[   ]bchunk-doc-1.2.2-r3.apk2024-10-25 22:29 3.0K 
[   ]pokoy-doc-0.2.5-r0.apk2024-10-25 22:34 3.0K 
[   ]usbmuxd-doc-1.1.1-r9.apk2025-04-18 16:39 3.0K 
[   ]f_scripts-f_networks-0.6-r1.apk2024-10-25 22:30 3.0K 
[   ]pipectl-doc-0.4.1-r1.apk2024-10-25 22:34 3.0K 
[   ]perl-cairo-gobject-doc-1.005-r5.apk2025-06-30 09:03 3.0K 
[   ]libctl-doc-4.5.1-r1.apk2024-10-25 22:32 3.0K 
[   ]geonames-dev-0.3.1-r2.apk2024-10-25 22:31 3.0K 
[   ]satellite-doc-1.0.0-r29.apk2025-07-10 21:45 3.0K 
[   ]ansiweather-doc-1.19.0-r1.apk2024-10-25 22:29 3.0K 
[   ]opendht-doc-3.1.11-r0.apk2025-01-27 21:56 3.0K 
[   ]perl-lingua-stem-snowball-da-doc-1.01-r0.apk2025-06-08 18:40 3.0K 
[   ]llmnrd-doc-0.7-r1.apk2024-10-25 22:32 3.0K 
[   ]ouch-fish-completion-0.6.1-r0.apk2025-05-28 09:37 3.0K 
[   ]perl-text-german-doc-0.06-r0.apk2025-06-07 04:00 3.0K 
[   ]faultstat-doc-0.01.11-r0.apk2024-10-25 22:30 3.0K 
[   ]perl-template-plugin-csv-doc-0.04-r3.apk2024-10-25 22:34 3.0K 
[   ]py3-compdb-doc-0.2.0-r8.apk2024-10-25 22:34 3.0K 
[   ]wayback-doc-0_git20250710-r0.apk2025-07-11 10:46 3.0K 
[   ]cocogitto-bash-completion-6.3.0-r0.apk2025-03-21 20:28 3.0K 
[   ]cocogitto-zsh-completion-6.3.0-r0.apk2025-03-21 20:28 3.0K 
[   ]perl-moosex-types-stringlike-0.003-r0.apk2025-03-19 14:13 3.0K 
[   ]py3-cchardet-pyc-2.1.7-r5.apk2024-10-25 22:34 3.0K 
[   ]perl-dbix-class-cursor-cached-doc-1.001004-r0.apk2025-06-09 18:27 3.0K 
[   ]perl-plack-middleware-reverseproxy-doc-0.16-r2.apk2024-10-25 22:34 3.1K 
[   ]mkg3a-doc-0.5.0-r1.apk2024-10-25 22:32 3.1K 
[   ]ocp-index-vim-1.3.6-r0.apk2024-10-25 22:33 3.1K 
[   ]perl-lingua-en-number-isordinal-0.05-r0.apk2025-06-08 18:40 3.1K 
[   ]laze-bash-completion-0.1.31-r0.apk2025-02-18 23:14 3.1K 
[   ]sedutil-doc-1.15.1-r1.apk2024-10-25 22:36 3.1K 
[   ]perl-class-unload-doc-0.11-r0.apk2025-06-04 19:22 3.1K 
[   ]perl-linux-pid-doc-0.04-r15.apk2025-06-30 09:03 3.1K 
[   ]perl-plack-middleware-fixmissingbodyinredirect-doc-0.12-r0.apk2024-12-26 10:36 3.1K 
[   ]py3-log-symbols-pyc-0.0.14-r5.apk2024-10-25 22:34 3.1K 
[   ]snore-doc-0.3.1-r0.apk2024-10-25 22:36 3.1K 
[   ]perl-catalystx-profile-0.02-r0.apk2025-06-15 06:46 3.1K 
[   ]ruby-event_emitter-0.2.6-r0.apk2025-05-26 02:00 3.1K 
[   ]spacer-doc-0.3.9-r0.apk2025-05-26 15:06 3.1K 
[   ]xcape-doc-1.2-r1.apk2025-05-14 20:13 3.1K 
[   ]csmith-doc-2.3.0-r2.apk2024-10-25 22:30 3.1K 
[   ]perl-plack-middleware-removeredundantbody-doc-0.09-r0.apk2024-12-27 15:02 3.1K 
[   ]vkbasalt-doc-0.3.2.10-r0.apk2024-10-25 22:37 3.1K 
[   ]wf-shell-doc-0.9.0-r0.apk2025-02-27 21:28 3.1K 
[   ]perl-http-thin-0.006-r0.apk2024-10-25 22:34 3.1K 
[   ]perl-plack-middleware-fixmissingbodyinredirect-0.12-r0.apk2024-12-26 10:36 3.1K 
[   ]sympow-doc-2.023.7-r2.apk2024-10-25 22:36 3.1K 
[   ]volatility3-doc-2.11.0-r0.apk2025-02-13 08:45 3.1K 
[   ]perl-data-binary-doc-0.01-r0.apk2025-07-10 21:45 3.1K 
[   ]raku-terminal-quickcharts-doc-0.0.2-r0.apk2025-06-08 18:40 3.1K 
[   ]luksmeta-dev-9-r0.apk2024-10-25 22:32 3.1K 
[   ]snapper-bash-completion-0.12.2-r0.apk2025-05-06 14:27 3.1K 
[   ]prosody-mod-bookmarks-0.11_hg20201208-r0.apk2024-10-25 22:34 3.1K 
[   ]perl-perlio-locale-doc-0.10-r13.apk2025-06-30 09:03 3.1K 
[   ]memdump-doc-1.01-r1.apk2024-10-25 22:32 3.1K 
[   ]perl-net-curl-promiser-mojo-0.20-r0.apk2024-10-25 22:34 3.1K 
[   ]lotide-openrc-0.15.0-r0.apk2024-10-25 22:32 3.1K 
[   ]tty-clock-doc-2.3_git20240104-r0.apk2024-10-25 22:36 3.1K 
[   ]dwl-doc-0.7-r0.apk2024-10-25 22:30 3.1K 
[   ]ouch-zsh-completion-0.6.1-r0.apk2025-05-28 09:37 3.1K 
[   ]perl-plack-test-externalserver-doc-0.02-r0.apk2025-03-19 14:13 3.1K 
[   ]py3-bottle-redis-pyc-0.2.3-r6.apk2024-10-25 22:34 3.1K 
[   ]perl-json-maybeutf8-2.000-r0.apk2024-10-25 22:34 3.1K 
[   ]persistent-cache-cpp-doc-1.0.7-r4.apk2025-02-14 16:28 3.1K 
[   ]harminv-dev-1.4.2-r1.apk2024-10-25 22:31 3.1K 
[   ]py3-bottle-websocket-pyc-0.2.9-r8.apk2024-10-25 22:34 3.1K 
[   ]perl-hash-merge-extra-0.06-r0.apk2025-06-20 17:18 3.1K 
[   ]beard-0.4-r0.apk2024-10-25 22:29 3.1K 
[   ]py3-sphinxcontrib-manpage-pyc-0.6-r8.apk2024-10-25 22:34 3.2K 
[   ]perl-datetime-format-atom-1.8.0-r0.apk2025-01-05 16:08 3.2K 
[   ]perl-multidimensional-doc-0.014-r1.apk2025-06-30 09:03 3.2K 
[   ]ptylie-doc-0.2-r2.apk2025-05-12 23:26 3.2K 
[   ]caffeine-ng-doc-4.2.0-r1.apk2024-10-25 22:29 3.2K 
[   ]perl-plack-middleware-reverseproxy-0.16-r2.apk2024-10-25 22:34 3.2K 
[   ]perl-moox-typetiny-doc-0.002003-r0.apk2025-06-09 14:05 3.2K 
[   ]timeshift-doc-24.06.6-r0.apk2025-04-24 01:13 3.2K 
[   ]perl-tie-toobject-doc-0.03-r0.apk2025-03-19 14:13 3.2K 
[   ]jalv-doc-1.6.8-r1.apk2024-10-25 22:31 3.2K 
[   ]today-6.2.1-r0.apk2025-06-17 17:29 3.2K 
[   ]py3-flask-gzip-0.2-r8.apk2024-10-25 22:34 3.2K 
[   ]trippy-bash-completion-0.13.0-r0.apk2025-05-19 01:20 3.2K 
[   ]perl-uri-redis-0.02-r0.apk2024-10-25 22:34 3.2K 
[   ]perl-string-camelcase-0.04-r2.apk2024-10-25 22:34 3.2K 
[   ]glow-doc-2.1.1-r1.apk2025-07-10 21:44 3.2K 
[   ]py3-flask-headers-1.0-r9.apk2024-10-25 22:34 3.2K 
[   ]nuzzle-doc-1.6-r0.apk2025-01-17 15:15 3.2K 
[   ]openocd-esp32-doc-0_git20250422-r1.apk2025-07-05 21:52 3.2K 
[   ]witchery-0.0.3-r2.apk2024-10-25 22:37 3.2K 
[   ]perl-lingua-en-inflect-number-1.12-r0.apk2025-06-08 18:40 3.2K 
[   ]xload-doc-1.1.4-r0.apk2024-10-25 22:37 3.2K 
[   ]perl-math-libm-doc-1.00-r15.apk2025-06-30 09:03 3.2K 
[   ]py3-bottle-request-0.2.0-r9.apk2024-10-25 22:34 3.2K 
[   ]perl-dbix-class-cursor-cached-1.001004-r0.apk2025-06-09 18:27 3.2K 
[   ]wl-screenrec-fish-completion-0.1.7-r0.apk2025-04-15 03:17 3.2K 
[   ]perl-cache-lru-doc-0.04-r0.apk2024-10-25 22:34 3.2K 
[   ]perl-moosex-types-loadableclass-0.016-r0.apk2025-04-30 18:21 3.2K 
[   ]raku-meta6-doc-0.0.30-r0.apk2025-06-07 09:42 3.2K 
[   ]raku-http-hpack-doc-1.0.3-r0.apk2025-06-07 09:42 3.2K 
[   ]lxappearance-dev-0.6.3-r3.apk2024-10-25 22:32 3.2K 
[   ]perl-bareword-filehandles-doc-0.007-r1.apk2025-06-30 09:03 3.2K 
[   ]tmpmail-doc-1.2.3-r2.apk2024-10-25 22:36 3.2K 
[   ]perl-lingua-en-findnumber-1.32-r0.apk2025-06-08 18:40 3.3K 
[   ]perl-flowd-doc-0.9.1-r11.apk2025-06-30 09:03 3.3K 
[   ]py3-hurry.filesize-pyc-0.9-r8.apk2024-10-25 22:34 3.3K 
[   ]zita-resampler-dev-1.11.2-r0.apk2025-04-15 04:21 3.3K 
[   ]otree-doc-0.4.0-r0.apk2025-07-11 10:46 3.3K 
[   ]prosody-mod-conversejs-0.11_hg20201208-r0.apk2024-10-25 22:34 3.3K 
[   ]py3-allfiles-pyc-1.0-r8.apk2024-10-25 22:34 3.3K 
[   ]perl-variable-disposition-0.005-r0.apk2024-10-25 22:34 3.3K 
[   ]openocd-riscv-udev-rules-0_git20230104-r2.apk2024-10-25 22:34 3.3K 
[   ]fastd-doc-23-r0.apk2025-01-27 22:51 3.3K 
[   ]fatrace-doc-0.17.0-r0.apk2024-10-25 22:30 3.3K 
[   ]initify-0_git20171210-r1.apk2024-10-25 22:31 3.3K 
[   ]greetd-mini-wl-greeter-doc-0_git20230821-r0.apk2024-10-25 22:31 3.3K 
[   ]hyfetch-bash-completion-1.99.0-r1.apk2024-10-25 22:31 3.3K 
[   ]perl-digest-crc-doc-0.24-r2.apk2025-06-30 09:03 3.3K 
[   ]sentrypeer-doc-4.0.4-r0.apk2025-03-19 14:13 3.3K 
[   ]openocd-riscv-doc-0_git20230104-r2.apk2024-10-25 22:34 3.3K 
[   ]perl-catalyst-component-instancepercontext-doc-0.001001-r1.apk2025-06-19 12:55 3.3K 
[   ]wlclock-doc-1.0.1-r0.apk2024-10-25 22:37 3.3K 
[   ]openocd-git-doc-0_git20240113-r1.apk2024-10-25 22:34 3.3K 
[   ]solarus-engine-doc-1.7.0-r1.apk2025-02-20 19:33 3.3K 
[   ]netscanner-doc-0.5.1-r1.apk2025-07-01 21:03 3.3K 
[   ]prjtrellis-db-0_git20230929-r0.apk2024-10-25 22:34 3.3K 
[   ]py3-bottle-redis-0.2.3-r6.apk2024-10-25 22:34 3.3K 
[   ]perl-catalystx-repl-0.04-r0.apk2025-04-27 17:07 3.3K 
[   ]hub-fish-completion-2.14.2-r32.apk2025-07-10 21:45 3.3K 
[   ]py3-flask-components-pyc-0.1.1-r9.apk2024-10-25 22:34 3.3K 
[   ]today-doc-6.2.1-r0.apk2025-06-17 17:29 3.3K 
[   ]toml2json-doc-1.3.1-r0.apk2024-10-25 22:36 3.3K 
[   ]click-doc-0.5.2-r4.apk2025-02-14 16:28 3.3K 
[   ]sqlar-doc-0_git20180107-r1.apk2024-10-25 22:36 3.3K 
[   ]libideviceactivation-dev-1.1.1-r5.apk2024-10-30 23:45 3.3K 
[   ]lomiri-url-dispatcher-dev-0.1.4-r0.apk2025-05-09 19:32 3.3K 
[   ]nvim-cmp-cmdline-0.0.0_git20220902-r1.apk2024-10-25 22:33 3.3K 
[   ]ccze-dev-0.2.1-r1.apk2024-10-25 22:29 3.3K 
[   ]pick-doc-4.0.0-r0.apk2024-10-25 22:34 3.3K 
[   ]raku-json-unmarshal-doc-0.18-r0.apk2025-06-07 09:42 3.3K 
[   ]cocogitto-fish-completion-6.3.0-r0.apk2025-03-21 20:28 3.3K 
[   ]perl-array-diff-0.09-r0.apk2025-07-10 21:45 3.3K 
[   ]raku-json-class-doc-0.0.21-r0.apk2025-06-07 09:42 3.3K 
[   ]perl-protocol-redis-faster-doc-0.003-r0.apk2024-10-25 22:34 3.3K 
[   ]py3-anyascii-pyc-0.3.2-r1.apk2024-10-25 22:34 3.3K 
[   ]pasystray-doc-0.8.2-r0.apk2024-10-25 22:34 3.3K 
[   ]py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk2024-10-25 22:34 3.3K 
[   ]compiz-utils-0.9.14.2-r11.apk2025-06-12 15:21 3.3K 
[   ]f_scripts-f_audio-0.6-r1.apk2024-10-25 22:30 3.3K 
[   ]octoprint-creality2xfix-pyc-0.0.4-r2.apk2024-10-25 22:33 3.3K 
[   ]py3-flake8-copyright-pyc-0.2.4-r3.apk2024-10-25 22:34 3.3K 
[   ]perl-future-asyncawait-hooks-doc-0.02-r1.apk2025-06-30 09:03 3.3K 
[   ]ssss-doc-0.5.7-r0.apk2024-10-25 22:36 3.3K 
[   ]perl-moosex-relatedclassroles-doc-0.004-r0.apk2025-05-01 08:30 3.3K 
[   ]perl-devel-leak-doc-0.03-r14.apk2025-06-30 09:03 3.3K 
[   ]perl-plack-middleware-expires-doc-0.06-r3.apk2024-10-25 22:34 3.3K 
[   ]trace-cmd-bash-completion-3.3.1-r1.apk2025-01-19 12:58 3.4K 
[   ]perl-devel-trace-0.12-r0.apk2025-07-06 21:08 3.4K 
[   ]noice-doc-0.8-r1.apk2024-10-25 22:33 3.4K 
[   ]perl-time-moment-role-strptime-doc-0.001-r0.apk2024-10-25 22:34 3.4K 
[   ]httpie-oauth-1.0.2-r9.apk2024-10-25 22:31 3.4K 
[   ]perl-hash-merge-extra-doc-0.06-r0.apk2025-06-20 17:18 3.4K 
[   ]perl-catalystx-leakchecker-0.06-r0.apk2025-06-15 06:46 3.4K 
[   ]laze-fish-completion-0.1.31-r0.apk2025-02-18 23:14 3.4K 
[   ]lua-fn-0.1.0-r0.apk2024-10-25 22:32 3.4K 
[   ]pixiewps-doc-1.4.2-r2.apk2025-05-12 23:26 3.4K 
[   ]perl-tickit-widget-choice-doc-0.07-r0.apk2024-10-25 22:34 3.4K 
[   ]openocd-git-dev-0_git20240113-r1.apk2024-10-25 22:34 3.4K 
[   ]openocd-git-udev-rules-0_git20240113-r1.apk2024-10-25 22:34 3.4K 
[   ]perl-catalystx-injectcomponent-0.025-r0.apk2025-05-01 08:30 3.4K 
[   ]wl-clipboard-x11-5-r3.apk2024-10-25 22:37 3.4K 
[   ]aptdec-dev-1.8.0-r1.apk2025-02-09 00:40 3.4K 
[   ]perl-devel-stacktrace-withlexicals-doc-2.01-r0.apk2025-04-26 12:21 3.4K 
[   ]perl-template-timer-1.00-r0.apk2025-04-15 03:17 3.4K 
[   ]perl-ppi-xs-doc-0.910-r2.apk2025-06-30 09:03 3.4K 
[   ]perl-scalar-readonly-doc-0.03-r2.apk2025-06-30 09:03 3.4K 
[   ]perl-protocol-redis-faster-0.003-r0.apk2024-10-25 22:34 3.4K 
[   ]py3-flask-json-schema-pyc-0.0.5-r4.apk2024-10-25 22:34 3.4K 
[   ]perl-string-crc32-doc-2.100-r5.apk2025-06-30 09:03 3.4K 
[   ]perl-module-build-prereqs-fromcpanfile-0.02-r0.apk2024-10-25 22:34 3.4K 
[   ]perl-lingua-en-number-isordinal-doc-0.05-r0.apk2025-06-08 18:40 3.4K 
[   ]perl-net-address-ip-local-0.1.2-r0.apk2024-10-25 22:34 3.4K 
[   ]perl-http-thin-doc-0.006-r0.apk2024-10-25 22:34 3.5K 
[   ]perl-text-simpletable-doc-2.07-r0.apk2025-03-19 14:13 3.5K 
[   ]dfl-login1-dev-0.2.0-r0.apk2024-10-25 22:30 3.5K 
[   ]perl-string-camelcase-doc-0.04-r2.apk2024-10-25 22:34 3.5K 
[   ]bcg729-dev-1.1.1-r0.apk2024-10-25 22:29 3.5K 
[   ]libuninameslist-dev-20230916-r0.apk2024-10-25 22:32 3.5K 
[   ]shadowsocks-libev-dev-3.3.5-r4.apk2024-10-25 22:36 3.5K 
[   ]gsettings-qt-dev-1.0.0-r0.apk2025-03-19 14:12 3.5K 
[   ]perl-ref-util-xs-doc-0.117-r9.apk2025-06-30 09:03 3.5K 
[   ]py3-utc-0.0.3-r9.apk2024-10-25 22:34 3.5K 
[   ]perl-test-expect-0.34-r0.apk2025-04-21 19:35 3.5K 
[   ]perl-devel-trace-doc-0.12-r0.apk2025-07-06 21:08 3.5K 
[   ]nvim-cmp-lsp-0.0.0_git20220516-r1.apk2024-10-25 22:33 3.5K 
[   ]py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk2024-10-25 22:34 3.5K 
[   ]libbloom-dev-2.0-r0.apk2024-10-25 22:32 3.5K 
[   ]perl-lingua-en-findnumber-doc-1.32-r0.apk2025-06-08 18:40 3.5K 
[   ]openocd-esp32-udev-rules-0_git20250422-r1.apk2025-07-05 21:52 3.5K 
[   ]kew-doc-3.3.3-r0.apk2025-05-30 00:20 3.5K 
[   ]copyq-doc-10.0.0-r0.apk2025-06-25 15:55 3.5K 
[   ]twinkle-doc-1.10.3-r3.apk2025-01-04 22:55 3.5K 
[   ]perl-net-address-ip-local-doc-0.1.2-r0.apk2024-10-25 22:34 3.5K 
[   ]tldr-python-client-doc-3.3.0-r0.apk2024-12-01 18:09 3.5K 
[   ]enlighten-doc-0.9.2-r1.apk2024-10-25 22:30 3.5K 
[   ]hurl-fish-completion-6.1.1-r0.apk2025-05-03 19:29 3.5K 
[   ]perl-lingua-en-words2nums-doc-0.18-r0.apk2025-06-07 16:55 3.5K 
[   ]extrace-doc-0.9-r0.apk2024-10-25 22:30 3.5K 
[   ]ijq-doc-1.1.0-r9.apk2025-07-10 21:45 3.5K 
[   ]perl-catalystx-leakchecker-doc-0.06-r0.apk2025-06-15 06:46 3.5K 
[   ]ocaml-qtest-dev-2.11.2-r3.apk2024-10-25 22:33 3.5K 
[   ]nvim-cmp-luasnip-0.0.0_git20220501-r1.apk2024-10-25 22:33 3.5K 
[   ]perl-test-randomresult-0.001-r0.apk2024-10-25 22:34 3.5K 
[   ]rgxg-dev-0.1.2-r2.apk2024-10-25 22:34 3.5K 
[   ]shellinabox-openrc-2.21-r3.apk2024-10-25 22:36 3.5K 
[   ]laze-doc-0.1.31-r0.apk2025-02-18 23:14 3.5K 
[   ]kabmat-doc-2.7.0-r0.apk2024-10-25 22:31 3.5K 
[   ]raku-shell-command-doc-1.1-r0.apk2025-06-08 18:40 3.5K 
[   ]perl-lingua-stem-it-doc-0.02-r0.apk2025-06-06 16:57 3.5K 
[   ]perl-proc-guard-doc-0.07-r4.apk2024-10-25 22:34 3.5K 
[   ]uclient-dev-20241022-r0.apk2025-05-30 00:00 3.5K 
[   ]fildesh-vim-0.2.0-r0.apk2024-10-25 22:30 3.5K 
[   ]perl-moox-typetiny-0.002003-r0.apk2025-06-09 14:05 3.6K 
[   ]laze-zsh-completion-0.1.31-r0.apk2025-02-18 23:14 3.6K 
[   ]perl-lingua-en-inflect-number-doc-1.12-r0.apk2025-06-08 18:40 3.6K 
[   ]perl-json-maybeutf8-doc-2.000-r0.apk2024-10-25 22:34 3.6K 
[   ]perl-time-moment-role-timezone-1.000-r0.apk2024-10-25 22:34 3.6K 
[   ]cutechess-doc-1.3.1-r0.apk2024-10-25 22:30 3.6K 
[   ]snapper-zsh-completion-0.12.2-r0.apk2025-05-06 14:27 3.6K 
[   ]py3-allfiles-1.0-r8.apk2024-10-25 22:34 3.6K 
[   ]perl-test-expect-doc-0.34-r0.apk2025-04-21 19:35 3.6K 
[   ]maddy-vim-0.8.1-r4.apk2025-07-10 21:45 3.6K 
[   ]ffsend-fish-completion-0.2.76-r4.apk2024-10-25 22:30 3.6K 
[   ]tuned-profiles-compat-2.25.1-r2.apk2025-05-28 12:42 3.6K 
[   ]perl-catalystx-injectcomponent-doc-0.025-r0.apk2025-05-01 08:30 3.6K 
[   ]perl-moosex-types-stringlike-doc-0.003-r0.apk2025-03-19 14:13 3.6K 
[   ]doasedit-1.0.7-r0.apk2024-10-25 22:30 3.6K 
[   ]perl-test-describeme-0.004-r0.apk2024-10-25 22:34 3.6K 
[   ]pacparser-dev-1.4.5-r1.apk2024-10-25 22:34 3.6K 
[   ]py3-flake8-todo-0.7-r7.apk2024-10-25 22:34 3.6K 
[   ]raku-file-which-doc-1.0.4-r0.apk2025-06-08 18:40 3.6K 
[   ]ircd-hybrid-doc-8.2.47-r0.apk2025-04-05 05:49 3.6K 
[   ]dfl-ipc-dev-0.2.0-r0.apk2024-10-25 22:30 3.6K 
[   ]perl-check-unitcheck-doc-0.13-r2.apk2025-06-30 09:03 3.6K 
[   ]ffsend-bash-completion-0.2.76-r4.apk2024-10-25 22:30 3.6K 
[   ]lua-resty-upload-0.11-r0.apk2024-10-25 22:32 3.6K 
[   ]opkg-utils-doc-0.7.0-r0.apk2024-10-25 22:34 3.6K 
[   ]perl-catalystx-repl-doc-0.04-r0.apk2025-04-27 17:07 3.6K 
[   ]rattler-build-bash-completion-0.18.0-r0.apk2024-10-25 22:34 3.6K 
[   ]perl-bind-config-parser-doc-0.01-r5.apk2024-10-25 22:34 3.6K 
[   ]py3-ecos-pyc-2.0.11-r4.apk2024-10-25 22:34 3.6K 
[   ]wayfire-doc-0.9.0-r0.apk2025-02-27 21:28 3.6K 
[   ]py3-pydes-doc-2.0.1-r5.apk2024-10-25 22:34 3.6K 
[   ]perl-plack-middleware-methodoverride-0.20-r0.apk2025-03-19 14:13 3.6K 
[   ]fzy-doc-1.0-r4.apk2025-05-27 13:08 3.6K 
[   ]swappy-lang-1.5.1-r0.apk2024-10-25 22:36 3.6K 
[   ]otpclient-doc-4.0.2-r1.apk2025-06-12 15:21 3.6K 
[   ]screen-message-doc-0.29-r0.apk2025-04-15 03:17 3.6K 
[   ]perl-catalyst-plugin-session-store-file-0.18-r0.apk2025-06-14 04:46 3.6K 
[   ]wl-screenrec-zsh-completion-0.1.7-r0.apk2025-04-15 03:17 3.7K 
[   ]openocd-esp32-dev-0_git20250422-r1.apk2025-07-05 21:52 3.7K 
[   ]perl-template-timer-doc-1.00-r0.apk2025-04-15 03:17 3.7K 
[   ]perl-devel-stacktrace-withlexicals-2.01-r0.apk2025-04-26 12:21 3.7K 
[   ]pulseview-doc-0.4.2-r8.apk2024-10-25 22:34 3.7K 
[   ]raku-json-marshal-doc-0.0.25-r0.apk2025-06-07 09:42 3.7K 
[   ]libjodycode-doc-3.1.1-r0.apk2024-10-25 22:32 3.7K 
[   ]py3-flake8-snippets-pyc-0.2-r8.apk2024-10-25 22:34 3.7K 
[   ]tuned-ppd-2.25.1-r2.apk2025-05-28 12:42 3.7K 
[   ]perl-object-signature-1.08-r0.apk2025-04-04 13:57 3.7K 
[   ]perl-test-randomresult-doc-0.001-r0.apk2024-10-25 22:34 3.7K 
[   ]perl-role-eventemitter-0.003-r0.apk2024-10-25 22:34 3.7K 
[   ]perl-task-catalyst-doc-4.02-r0.apk2025-06-15 06:46 3.7K 
[   ]pebble-le-doc-0.3.0-r2.apk2024-12-14 20:04 3.7K 
[   ]perl-sys-syscall-doc-0.25-r10.apk2024-10-25 22:34 3.7K 
[   ]perl-archive-any-lite-doc-0.11-r0.apk2025-07-11 10:46 3.7K 
[   ]perl-proc-guard-0.07-r4.apk2024-10-25 22:34 3.7K 
[   ]swappy-doc-1.5.1-r0.apk2024-10-25 22:36 3.7K 
[   ]rdrview-doc-0.1.3-r0.apk2025-02-22 20:22 3.7K 
[   ]wsmancli-doc-2.6.2-r0.apk2024-10-25 22:37 3.7K 
[   ]py3-flask-accept-pyc-0.0.6-r1.apk2024-10-25 22:34 3.7K 
[   ]dropwatch-doc-1.5.5-r0.apk2025-06-25 15:38 3.7K 
[   ]hub-zsh-completion-2.14.2-r32.apk2025-07-10 21:45 3.7K 
[   ]prosody-mod-pastebin-0.11_hg20201208-r0.apk2024-10-25 22:34 3.7K 
[   ]ticker-zsh-completion-4.8.1-r3.apk2025-07-10 21:45 3.7K 
[   ]py3-cookiecutter-doc-2.6.0-r1.apk2024-10-25 22:34 3.7K 
[   ]openocd-riscv-dev-0_git20230104-r2.apk2024-10-25 22:34 3.7K 
[   ]py3-pycolorterm-pyc-0.2.1-r6.apk2024-10-25 22:34 3.7K 
[   ]ocp-indent-emacs-1.8.2-r2.apk2024-10-25 22:33 3.7K 
[   ]hexdiff-doc-0.0.53-r3.apk2025-05-25 09:50 3.7K 
[   ]py3-bottle-renderer-pyc-0.1.1-r9.apk2024-10-25 22:34 3.7K 
[   ]py3-infinity-pyc-1.5-r6.apk2024-10-25 22:34 3.7K 
[   ]perl-feed-find-doc-0.13-r0.apk2024-10-25 22:34 3.7K 
[   ]perl-lingua-stem-ru-doc-0.04-r0.apk2025-06-06 16:57 3.7K 
[   ]perl-sort-versions-1.62-r0.apk2024-10-25 22:34 3.7K 
[   ]perl-moosex-types-loadableclass-doc-0.016-r0.apk2025-04-30 18:21 3.7K 
[   ]rauc-service-1.10.1-r0.apk2024-10-25 22:34 3.7K 
[   ]tuptime-doc-5.2.4-r1.apk2024-11-28 23:44 3.7K 
[   ]perl-date-range-1.41-r0.apk2025-07-08 18:54 3.8K 
[   ]pihole-doc-6.2.3-r0.apk2025-06-20 20:05 3.8K 
[   ]volumeicon-lang-0.5.1-r1.apk2024-10-25 22:37 3.8K 
[   ]nvim-cmp-path-0.0.0_git20221002-r1.apk2024-10-25 22:33 3.8K 
[   ]perl-datetime-format-atom-doc-1.8.0-r0.apk2025-01-05 16:08 3.8K 
[   ]perl-b-hooks-op-check-doc-0.22-r1.apk2025-06-30 09:03 3.8K 
[   ]mml-doc-1.0.0-r0.apk2025-01-30 19:22 3.8K 
[   ]perl-module-build-prereqs-fromcpanfile-doc-0.02-r0.apk2024-10-25 22:34 3.8K 
[   ]libsds-dev-2.0.0-r1.apk2024-10-25 22:32 3.8K 
[   ]pwauth-2.3.11-r2.apk2024-10-25 22:34 3.8K 
[   ]perl-moosex-simpleconfig-0.11-r0.apk2025-03-19 14:13 3.8K 
[   ]finger-doc-0.5-r0.apk2024-10-25 22:30 3.8K 
[   ]gupnp-doc-1.6.9-r0.apk2025-06-29 01:49 3.8K 
[   ]perl-catalyst-action-renderview-0.17-r0.apk2025-03-19 15:53 3.8K 
[   ]nwipe-doc-0.38-r0.apk2025-06-19 21:05 3.8K 
[   ]perl-term-size-doc-0.211-r5.apk2025-06-30 09:03 3.8K 
[   ]perl-test2-tools-explain-0.02-r0.apk2024-10-25 22:34 3.8K 
[   ]ouch-doc-0.6.1-r0.apk2025-05-28 09:37 3.8K 
[   ]perl-email-mime-attachment-stripper-doc-1.317-r5.apk2024-10-25 22:34 3.8K 
[   ]perl-test-useallmodules-0.17-r1.apk2024-10-25 22:34 3.8K 
[   ]sct-2018.12.18-r1.apk2024-10-25 22:35 3.8K 
[   ]emacs-avy-embark-collect-1.1-r0.apk2025-04-23 07:43 3.8K 
[   ]perl-math-random-isaac-xs-doc-1.004-r9.apk2025-06-30 09:03 3.8K 
[   ]perl-devel-findperl-doc-0.016-r0.apk2025-06-08 18:40 3.8K 
[   ]perl-memoize-expirelru-doc-0.56-r0.apk2025-06-08 18:40 3.8K 
[   ]csol-doc-1.6.0-r0.apk2024-10-25 22:30 3.8K 
[   ]perl-tickit-widget-entry-plugin-completion-doc-0.02-r0.apk2024-10-25 22:34 3.9K 
[   ]symlinks-doc-1.4.3-r0.apk2025-04-21 23:27 3.9K 
[   ]foolsm-doc-1.0.21-r0.apk2024-10-25 22:30 3.9K 
[   ]perl-feed-find-0.13-r0.apk2024-10-25 22:34 3.9K 
[   ]perl-lib-abs-0.95-r0.apk2024-10-25 22:34 3.9K 
[   ]py3-flask-markdown-pyc-0.3-r8.apk2024-10-25 22:34 3.9K 
[   ]perl-snowball-swedish-doc-1.2-r0.apk2025-06-08 18:40 3.9K 
[   ]perl-test-useallmodules-doc-0.17-r1.apk2024-10-25 22:34 3.9K 
[   ]certbot-dns-pdns-pyc-0.1.1-r0.apk2024-10-25 22:29 3.9K 
[   ]perl-context-preserve-0.03-r4.apk2024-10-25 22:34 3.9K 
[   ]mdp-doc-1.0.18-r0.apk2025-07-10 21:45 3.9K 
[   ]perl-time-timegm-doc-0.01-r10.apk2025-06-30 09:03 3.9K 
[   ]perl-bind-config-parser-0.01-r5.apk2024-10-25 22:34 3.9K 
[   ]perl-plack-middleware-expires-0.06-r3.apk2024-10-25 22:34 3.9K 
[   ]perl-array-diff-doc-0.09-r0.apk2025-07-10 21:45 3.9K 
[   ]perl-catalyst-plugin-stacktrace-doc-0.12-r0.apk2025-06-14 04:46 3.9K 
[   ]ticker-fish-completion-4.8.1-r3.apk2025-07-10 21:45 3.9K 
[   ]primecount-doc-7.17-r0.apk2025-05-16 16:32 3.9K 
[   ]perl-snowball-norwegian-doc-1.2-r0.apk2025-06-08 18:40 3.9K 
[   ]perl-uri-nested-doc-0.10-r0.apk2024-10-25 22:34 3.9K 
[   ]perl-lingua-en-inflect-phrase-doc-0.20-r0.apk2025-06-09 14:06 3.9K 
[   ]tncattach-doc-0.1.9-r1.apk2024-10-25 22:36 3.9K 
[   ]py3-sphinxcontrib-gist-0.1.0-r9.apk2024-10-25 22:34 3.9K 
[   ]z-doc-1.12-r0.apk2024-10-25 22:37 3.9K 
[   ]mangal-fish-completion-4.0.6-r19.apk2025-07-10 21:45 3.9K 
[   ]perl-tickit-widget-choice-0.07-r0.apk2024-10-25 22:34 3.9K 
[   ]py3-flask-components-0.1.1-r9.apk2024-10-25 22:34 3.9K 
[   ]perl-lingua-stem-fr-doc-0.02-r0.apk2025-06-06 16:57 3.9K 
[   ]ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk2024-10-25 22:34 3.9K 
[   ]qt-wayland-shell-helpers-dev-0.1.1-r3.apk2024-10-25 22:34 3.9K 
[   ]libiml-dev-1.0.5-r3.apk2024-10-25 22:32 3.9K 
[   ]perl-class-inner-0.200001-r5.apk2024-10-25 22:34 4.0K 
[   ]perl-log-message-simple-doc-0.10-r3.apk2024-10-25 22:34 4.0K 
[   ]perl-lib-abs-doc-0.95-r0.apk2024-10-25 22:34 4.0K 
[   ]perl-types-path-tiny-0.006-r0.apk2024-10-25 22:34 4.0K 
[   ]perl-role-eventemitter-doc-0.003-r0.apk2024-10-25 22:34 4.0K 
[   ]alarmwakeup-utils-0.2.1-r0.apk2024-10-25 22:29 4.0K 
[   ]perl-email-mime-attachment-stripper-1.317-r5.apk2024-10-25 22:34 4.0K 
[   ]py3-luhn-0.2.0-r9.apk2024-10-25 22:34 4.0K 
[   ]welle-io-doc-2.7-r0.apk2025-04-07 20:04 4.0K 
[   ]amdgpu_top-doc-0.10.5-r0.apk2025-06-12 15:21 4.0K 
[   ]perl-time-moment-role-timezone-doc-1.000-r0.apk2024-10-25 22:34 4.0K 
[   ]py3-bottle-renderer-0.1.1-r9.apk2024-10-25 22:34 4.0K 
[   ]perl-anyevent-dns-etchosts-doc-0.0105-r0.apk2024-10-25 22:34 4.0K 
[   ]k3sup-zsh-completion-0.13.6-r6.apk2025-07-10 21:45 4.0K 
[   ]perl-catalystx-component-traits-doc-0.19-r0.apk2025-05-07 09:38 4.0K 
[   ]hurl-zsh-completion-6.1.1-r0.apk2025-05-03 19:29 4.0K 
[   ]cowsay-doc-3.04-r2.apk2024-10-25 22:30 4.0K 
[   ]mangal-zsh-completion-4.0.6-r19.apk2025-07-10 21:45 4.0K 
[   ]colormake-0.9.20170221-r0.apk2024-10-25 22:30 4.0K 
[   ]cargo-machete-doc-0.8.0-r0.apk2025-05-26 01:24 4.0K 
[   ]perl-moosex-types-path-tiny-0.012-r0.apk2025-03-19 14:13 4.0K 
[   ]perl-uri-nested-0.10-r0.apk2024-10-25 22:34 4.0K 
[   ]perl-catalyst-plugin-session-store-file-doc-0.18-r0.apk2025-06-14 04:46 4.0K 
[   ]ko-zsh-completion-0.17.1-r6.apk2025-07-10 21:45 4.0K 
[   ]wl-kbptr-doc-0.3.0-r2.apk2025-07-07 09:09 4.0K 
[   ]seed7-vim-05.20240322-r0.apk2024-10-25 22:36 4.0K 
[   ]nom-doc-2.8.0-r4.apk2025-07-10 21:45 4.0K 
[   ]perl-file-treecreate-0.0.1-r0.apk2025-07-11 10:46 4.0K 
[   ]buf-zsh-completion-1.54.0-r1.apk2025-07-10 21:44 4.0K 
[   ]py3-django-taggit-serializer-0.1.7-r8.apk2024-10-25 22:34 4.0K 
[   ]devpod-zsh-completion-0.6.15-r5.apk2025-07-10 21:44 4.0K 
[   ]topgit-bash-completion-0.19.13-r1.apk2024-10-25 22:36 4.0K 
[   ]otrs-apache2-6.0.48-r2.apk2024-10-25 22:34 4.0K 
[   ]knative-client-zsh-completion-1.18.0-r1.apk2025-07-10 21:45 4.0K 
[   ]py3-pacparser-pyc-1.4.5-r1.apk2024-10-25 22:34 4.0K 
[   ]zot-cli-zsh-completion-2.1.2-r5.apk2025-07-10 21:46 4.0K 
[   ]regal-zsh-completion-0.33.1-r1.apk2025-07-10 21:45 4.0K 
[   ]shfm-0.4.2-r1.apk2024-10-25 22:36 4.0K 
[   ]upterm-zsh-completion-0.14.3-r6.apk2025-07-10 21:45 4.0K 
[   ]virtctl-zsh-completion-1.5.1-r1.apk2025-07-10 21:45 4.0K 
[   ]zita-resampler-doc-1.11.2-r0.apk2025-04-15 04:21 4.0K 
[   ]kubeone-zsh-completion-1.10.0-r1.apk2025-07-10 21:45 4.0K 
[   ]timoni-zsh-completion-0.23.0-r6.apk2025-07-10 21:45 4.0K 
[   ]helmfile-zsh-completion-1.1.2-r1.apk2025-07-10 21:44 4.0K 
[   ]tenv-zsh-completion-4.7.6-r1.apk2025-07-10 21:45 4.0K 
[   ]wgcf-zsh-completion-2.2.26-r1.apk2025-07-10 21:45 4.0K 
[   ]glow-zsh-completion-2.1.1-r1.apk2025-07-10 21:44 4.0K 
[   ]melange-zsh-completion-0.29.1-r0.apk2025-07-10 21:45 4.0K 
[   ]argocd-zsh-completion-3.0.0-r2.apk2025-07-10 21:44 4.0K 
[   ]cilium-cli-zsh-completion-0.16.13-r6.apk2025-07-10 21:44 4.0K 
[   ]perl-catalyst-action-renderview-doc-0.17-r0.apk2025-03-19 15:53 4.0K 
[   ]perl-date-range-doc-1.41-r0.apk2025-07-08 18:54 4.0K 
[   ]stern-zsh-completion-1.32.0-r5.apk2025-07-10 21:45 4.0K 
[   ]perl-plack-middleware-methodoverride-doc-0.20-r0.apk2025-03-19 14:13 4.0K 
[   ]kubepug-zsh-completion-1.7.1-r11.apk2025-07-10 21:45 4.0K 
[   ]admesh-dev-0.98.5-r0.apk2024-10-25 22:29 4.0K 
[   ]xisxwayland-2-r1.apk2024-10-25 22:37 4.0K 
[   ]hubble-cli-zsh-completion-0.13.6-r6.apk2025-07-10 21:45 4.1K 
[   ]mcqd-dev-1.0.0-r1.apk2024-10-25 22:32 4.1K 
[   ]virter-zsh-completion-0.29.0-r1.apk2025-07-10 21:45 4.1K 
[   ]git-bug-zsh-completion-0.8.1-r2.apk2025-07-10 21:44 4.1K 
[   ]bomctl-zsh-completion-0.1.9-r7.apk2025-07-10 21:44 4.1K 
[   ]py3-logtop-pyc-0.7-r0.apk2024-10-25 22:34 4.1K 
[   ]primesieve-doc-12.8-r0.apk2025-04-29 23:50 4.1K 
[   ]gmenuharness-dev-0.1.4-r2.apk2025-02-14 16:28 4.1K 
[   ]sing-box-zsh-completion-1.11.15-r0.apk2025-07-10 21:45 4.1K 
[   ]perl-crypt-blowfish-doc-2.14-r1.apk2025-06-30 09:03 4.1K 
[   ]perl-html-selector-xpath-doc-0.28-r0.apk2024-10-25 22:34 4.1K 
[   ]py3-flask-basicauth-pyc-0.2.0-r9.apk2024-10-25 22:34 4.1K 
[   ]py3-flask-cdn-pyc-1.5.3-r8.apk2024-10-25 22:34 4.1K 
[   ]perl-test-redisserver-doc-0.23-r0.apk2024-10-25 22:34 4.1K 
[   ]dmenu-wl-doc-0.1-r0.apk2025-07-02 12:32 4.1K 
[   ]libirecovery-dev-1.2.1-r0.apk2024-10-30 23:45 4.1K 
[   ]perl-i18n-langinfo-wide-doc-9-r4.apk2024-10-25 22:34 4.1K 
[   ]py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk2024-10-25 22:34 4.1K 
[   ]perl-moosex-configfromfile-0.14-r0.apk2025-03-19 14:13 4.1K 
[   ]perl-future-queue-0.52-r0.apk2024-10-25 22:34 4.1K 
[   ]py3-bottle-werkzeug-0.1.1-r9.apk2024-10-25 22:34 4.1K 
[   ]py3-flask-json-schema-0.0.5-r4.apk2024-10-25 22:34 4.1K 
[   ]perl-types-path-tiny-doc-0.006-r0.apk2024-10-25 22:34 4.1K 
[   ]megatools-bash-completion-1.11.4.20250411-r0.apk2025-05-03 19:33 4.1K 
[   ]raku-tinyfloats-doc-0.0.5-r0.apk2025-06-07 09:42 4.1K 
[   ]ampy-doc-1.1.0-r6.apk2025-03-19 14:11 4.1K 
[   ]py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk2024-10-25 22:34 4.1K 
[   ]cgo-doc-0.6.1-r1.apk2024-10-25 22:29 4.1K 
[   ]agrep-doc-0.8.0-r2.apk2024-10-25 22:29 4.1K 
[   ]perl-class-inner-doc-0.200001-r5.apk2024-10-25 22:34 4.1K 
[   ]perl-moosex-types-path-tiny-doc-0.012-r0.apk2025-03-19 14:13 4.1K 
[   ]hikari-unlocker-2.3.3-r6.apk2024-10-25 22:31 4.1K 
[   ]perl-lingua-stem-ru-0.04-r0.apk2025-06-06 16:57 4.1K 
[   ]perl-archive-any-lite-0.11-r0.apk2025-07-11 10:46 4.1K 
[   ]perl-catalyst-plugin-i18n-0.10-r0.apk2025-04-04 10:55 4.1K 
[   ]dfl-sni-dev-0.2.0-r0.apk2024-10-25 22:30 4.1K 
[   ]dfl-applications-dev-0.2.0-r0.apk2024-10-25 22:30 4.1K 
[   ]mint-y-theme-2.1.1-r0.apk2024-10-25 22:32 4.1K 
[   ]perl-datetime-format-rfc3339-doc-1.10.0-r0.apk2025-01-05 16:08 4.1K 
[   ]py3-sphinxcontrib-manpage-0.6-r8.apk2024-10-25 22:34 4.1K 
[   ]perl-text-brew-doc-0.02-r5.apk2024-10-25 22:34 4.1K 
[   ]infnoise-doc-0.3.3-r0.apk2025-05-26 10:58 4.1K 
[   ]makeclapman-doc-2.4.4-r6.apk2025-07-10 21:45 4.1K 
[   ]repgrep-fish-completion-0.15.0-r0.apk2024-10-25 22:34 4.1K 
[   ]ovn-openrc-24.03.1-r0.apk2024-10-25 22:34 4.2K 
[   ]perl-sort-versions-doc-1.62-r0.apk2024-10-25 22:34 4.2K 
[   ]w_scan2-doc-1.0.17-r0.apk2025-06-11 18:42 4.2K 
[   ]nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk2024-10-25 22:33 4.2K 
[   ]perl-moosex-configfromfile-doc-0.14-r0.apk2025-03-19 14:13 4.2K 
[   ]perl-tickit-widget-floatbox-doc-0.11-r0.apk2024-10-25 22:34 4.2K 
[   ]perl-moosex-simpleconfig-doc-0.11-r0.apk2025-03-19 14:13 4.2K 
[   ]igrep-doc-1.2.0-r0.apk2024-10-25 22:31 4.2K 
[   ]ocaml-stdlib-shims-0.3.0-r2.apk2024-10-25 22:33 4.2K 
[   ]flauschige-uhr-0.1-r1.apk2024-10-25 22:30 4.2K 
[   ]checkpolicy-doc-3.6-r0.apk2024-10-25 22:29 4.2K 
[   ]warpinator-nemo-1.8.8-r3.apk2025-06-11 20:38 4.2K 
[   ]rauc-doc-1.10.1-r0.apk2024-10-25 22:34 4.2K 
[   ]xvidtune-doc-1.0.4-r0.apk2024-10-25 22:37 4.2K 
[   ]raku-file-ignore-doc-1.2-r0.apk2025-06-08 18:40 4.2K 
[   ]uxn-doc-1.0-r0.apk2024-10-25 22:36 4.2K 
[   ]perl-dancer-session-cookie-doc-0.30-r2.apk2024-10-25 22:34 4.2K 
[   ]lutgen-doc-0.11.2-r0.apk2024-10-25 22:32 4.2K 
[   ]perl-test-describeme-doc-0.004-r0.apk2024-10-25 22:34 4.2K 
[   ]a2jmidid-doc-9-r3.apk2024-10-25 22:29 4.2K 
[   ]apt-swarm-bash-completion-0.5.1-r0.apk2025-05-26 12:55 4.2K 
[   ]py3-mando-doc-0.7.1-r3.apk2024-10-25 22:34 4.2K 
[   ]py3-fastdiff-pyc-0.3.0-r5.apk2024-10-25 22:34 4.2K 
[   ]colorpicker-0_git20201128-r1.apk2024-10-25 22:30 4.2K 
[   ]netdiscover-doc-0.20-r0.apk2025-05-17 23:48 4.2K 
[   ]py3-log-symbols-0.0.14-r5.apk2024-10-25 22:34 4.2K 
[   ]perl-moosex-traits-pluggable-doc-0.12-r0.apk2025-05-01 08:30 4.2K 
[   ]perl-html-treebuilder-xpath-doc-0.14-r0.apk2025-07-10 21:45 4.2K 
[   ]certbot-dns-njalla-pyc-2.0.0-r0.apk2024-11-28 00:02 4.2K 
[   ]libjodycode-dev-3.1.1-r0.apk2024-10-25 22:32 4.2K 
[   ]perl-log-message-simple-0.10-r3.apk2024-10-25 22:34 4.2K 
[   ]perl-i18n-langinfo-wide-9-r4.apk2024-10-25 22:34 4.2K 
[   ]perl-context-preserve-doc-0.03-r4.apk2024-10-25 22:34 4.2K 
[   ]py3-daterangestr-pyc-0.0.3-r8.apk2024-10-25 22:34 4.2K 
[   ]tnef-doc-1.4.18-r0.apk2024-10-25 22:36 4.2K 
[   ]transmission-remote-gtk-doc-1.6.0-r0.apk2024-10-25 22:36 4.2K 
[   ]raku-file-find-doc-0.2.1-r0.apk2025-06-08 18:40 4.2K 
[   ]perl-ev-hiredis-doc-0.07-r3.apk2025-06-30 09:03 4.2K 
[   ]qpdfview-doc-0.5-r2.apk2025-01-29 19:50 4.2K 
[   ]py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk2024-10-25 22:34 4.3K 
[   ]py3-bottle-werkzeug-pyc-0.1.1-r9.apk2024-10-25 22:34 4.3K 
[   ]perl-file-mmagic-xs-doc-0.09008-r5.apk2025-06-30 09:03 4.3K 
[   ]perl-test-api-doc-0.010-r2.apk2024-10-25 22:34 4.3K 
[   ]py3-barcodenumber-pyc-0.2.1-r10.apk2024-10-25 22:34 4.3K 
[   ]powerstat-doc-0.04.01-r0.apk2024-10-25 22:34 4.3K 
[   ]py3-bottle-pgsql-0.2-r5.apk2024-10-25 22:34 4.3K 
[   ]k3sup-fish-completion-0.13.6-r6.apk2025-07-10 21:45 4.3K 
[   ]perl-future-queue-doc-0.52-r0.apk2024-10-25 22:34 4.3K 
[   ]libaudec-dev-0.3.4-r3.apk2024-10-25 22:32 4.3K 
[   ]perl-lingua-pt-stemmer-doc-0.02-r0.apk2025-06-07 04:00 4.3K 
[   ]pash-2.3.0-r2.apk2024-10-25 22:34 4.3K 
[   ]ko-fish-completion-0.17.1-r6.apk2025-07-10 21:45 4.3K 
[   ]emacs-emacsql-sqlite-module-3.1.1_git20240714-r0.apk2024-10-25 22:30 4.3K 
[   ]perl-dbix-datasource-0.02-r5.apk2024-10-25 22:34 4.3K 
[   ]buf-fish-completion-1.54.0-r1.apk2025-07-10 21:44 4.3K 
[   ]knative-client-fish-completion-1.18.0-r1.apk2025-07-10 21:45 4.3K 
[   ]perl-devel-refcount-doc-0.10-r2.apk2025-06-30 09:03 4.3K 
[   ]py3-pyqrcode-doc-1.2.1-r0.apk2024-10-25 22:34 4.3K 
[   ]devpod-fish-completion-0.6.15-r5.apk2025-07-10 21:44 4.3K 
[   ]xsane-doc-0.999-r2.apk2024-10-25 22:37 4.3K 
[   ]zot-cli-fish-completion-2.1.2-r5.apk2025-07-10 21:46 4.3K 
[   ]stern-fish-completion-1.32.0-r5.apk2025-07-10 21:45 4.3K 
[   ]timoni-fish-completion-0.23.0-r6.apk2025-07-10 21:45 4.3K 
[   ]glow-fish-completion-2.1.1-r1.apk2025-07-10 21:44 4.3K 
[   ]wgcf-fish-completion-2.2.26-r1.apk2025-07-10 21:45 4.3K 
[   ]perl-catalystx-component-traits-0.19-r0.apk2025-05-07 09:38 4.3K 
[   ]regal-fish-completion-0.33.1-r1.apk2025-07-10 21:45 4.3K 
[   ]perl-asa-1.04-r0.apk2025-06-11 18:35 4.3K 
[   ]tenv-fish-completion-4.7.6-r1.apk2025-07-10 21:45 4.3K 
[   ]cilium-cli-fish-completion-0.16.13-r6.apk2025-07-10 21:44 4.3K 
[   ]virter-fish-completion-0.29.0-r1.apk2025-07-10 21:45 4.3K 
[   ]virtctl-fish-completion-1.5.1-r1.apk2025-07-10 21:45 4.3K 
[   ]helmfile-fish-completion-1.1.2-r1.apk2025-07-10 21:44 4.3K 
[   ]perl-uri-ws-doc-0.03-r0.apk2025-03-19 14:13 4.3K 
[   ]hubble-cli-fish-completion-0.13.6-r6.apk2025-07-10 21:45 4.3K 
[   ]melange-fish-completion-0.29.1-r0.apk2025-07-10 21:45 4.3K 
[   ]perl-lingua-stem-snowball-da-1.01-r0.apk2025-06-08 18:40 4.3K 
[   ]kubepug-fish-completion-1.7.1-r11.apk2025-07-10 21:45 4.3K 
[   ]gambit-doc-4.9.5-r1.apk2025-04-07 09:46 4.3K 
[   ]git-bug-fish-completion-0.8.1-r2.apk2025-07-10 21:44 4.3K 
[   ]py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk2024-10-25 22:34 4.3K 
[   ]perl-catalyst-actionrole-acl-0.07-r0.apk2025-05-07 09:38 4.3K 
[   ]bomctl-fish-completion-0.1.9-r7.apk2025-07-10 21:44 4.3K 
[   ]alarmwakeup-libs-0.2.1-r0.apk2024-10-25 22:29 4.3K 
[   ]eatmemory-0.1.6-r2.apk2024-10-25 22:30 4.3K 
[   ]sing-box-fish-completion-1.11.15-r0.apk2025-07-10 21:45 4.3K 
[   ]py3-daterangestr-0.0.3-r8.apk2024-10-25 22:34 4.4K 
[   ]perl-musicbrainz-discid-doc-0.06-r2.apk2025-06-30 09:03 4.4K 
[   ]kompose-fish-completion-1.31.2-r11.apk2025-07-10 21:45 4.4K 
[   ]qsynth-doc-1.0.2-r0.apk2024-10-25 22:34 4.4K 
[   ]perl-parse-distname-doc-0.05-r0.apk2025-07-11 10:46 4.4K 
[   ]py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk2024-10-25 22:34 4.4K 
[   ]perl-mojo-reactor-ioasync-doc-1.002-r0.apk2024-10-25 22:34 4.4K 
[   ]py3-infinity-1.5-r6.apk2024-10-25 22:34 4.4K 
[   ]perl-datetime-format-rfc3339-1.10.0-r0.apk2025-01-05 16:08 4.4K 
[   ]perl-number-misc-doc-1.2-r5.apk2024-10-25 22:34 4.4K 
[   ]perl-file-treecreate-doc-0.0.1-r0.apk2025-07-11 10:46 4.4K 
[   ]perl-template-plugin-number-format-doc-1.06-r4.apk2024-10-25 22:34 4.4K 
[   ]perl-test-requires-git-doc-1.008-r0.apk2024-10-25 22:34 4.4K 
[   ]py3-flake8-print-pyc-5.0.0-r5.apk2024-10-25 22:34 4.4K 
[   ]snore-0.3.1-r0.apk2024-10-25 22:36 4.4K 
[   ]nvimpager-doc-0.12.0-r0.apk2024-10-25 22:33 4.4K 
[   ]linuxptp-hwstamp_ctl-4.4-r0.apk2024-11-17 18:17 4.4K 
[   ]perl-test2-tools-explain-doc-0.02-r0.apk2024-10-25 22:34 4.4K 
[   ]setroot-doc-2.0.2-r1.apk2024-10-25 22:36 4.4K 
[   ]nwg-panel-doc-0.10.9-r0.apk2025-07-08 08:06 4.4K 
[   ]perl-catalyst-controller-actionrole-doc-0.17-r0.apk2025-04-04 07:39 4.4K 
[   ]py3-marshmallow-enum-pyc-1.5.1-r7.apk2024-10-25 22:34 4.5K 
[   ]perl-lingua-en-tagger-doc-0.31-r0.apk2025-06-08 18:40 4.5K 
[   ]perl-text-simpletable-2.07-r0.apk2025-03-19 14:13 4.5K 
[   ]yaru-common-23.10.0-r2.apk2025-03-19 14:14 4.5K 
[   ]lua5.2-editorconfig-0.3.0-r0.apk2024-10-25 22:32 4.5K 
[   ]py3-ask-pyc-0.0.8-r8.apk2024-10-25 22:34 4.5K 
[   ]perl-catalyst-plugin-session-store-delegate-0.06-r0.apk2025-06-13 20:18 4.5K 
[   ]gufw-doc-24.04-r3.apk2024-11-19 23:01 4.5K 
[   ]base64c-0.2.1-r0.apk2024-10-25 22:29 4.5K 
[   ]speedtest-go-doc-1.1.5-r16.apk2025-07-10 21:45 4.5K 
[   ]wakeonlan-0.42-r0.apk2024-10-25 22:37 4.5K 
[   ]paperkey-doc-1.6-r2.apk2024-10-25 22:34 4.5K 
[   ]raku-librarymake-doc-1.0.5-r0.apk2025-06-08 18:40 4.5K 
[   ]py3-cjkwrap-2.2-r6.apk2025-05-14 21:18 4.5K 
[   ]gr-satellites-doc-5.5.0-r5.apk2025-06-08 18:40 4.5K 
[   ]libcork-tools-0.15.0-r7.apk2024-10-25 22:32 4.5K 
[   ]py3-click-default-group-pyc-1.2.4-r1.apk2024-10-25 22:34 4.5K 
[   ]py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk2024-10-25 22:34 4.5K 
[   ]lua5.4-editorconfig-0.3.0-r0.apk2024-10-25 22:32 4.5K 
[   ]perl-catalyst-plugin-session-store-delegate-doc-0.06-r0.apk2025-06-13 20:18 4.5K 
[   ]perl-object-pad-fieldattr-checked-doc-0.12-r1.apk2025-06-30 09:03 4.5K 
[   ]sblim-wbemcli-doc-1.6.3-r1.apk2024-10-25 22:35 4.5K 
[   ]libraqm-dev-0.10.2-r0.apk2024-10-25 22:32 4.5K 
[   ]lua5.3-editorconfig-0.3.0-r0.apk2024-10-25 22:32 4.5K 
[   ]iprange-doc-1.0.4-r1.apk2024-10-25 22:31 4.5K 
[   ]py3-pytest-home-0.6.0-r0.apk2024-10-25 22:34 4.5K 
[   ]perl-text-brew-0.02-r5.apk2024-10-25 22:34 4.5K 
[   ]perl-data-clone-doc-0.006-r1.apk2025-06-30 09:03 4.5K 
[   ]slidge-doc-0.1.3-r0.apk2024-10-25 22:36 4.5K 
[   ]perl-catalystx-profile-doc-0.02-r0.apk2025-06-15 06:46 4.5K 
[   ]libwhich-1.2.0-r0.apk2024-10-25 22:32 4.5K 
[   ]php83-pecl-apfd-1.0.3-r0.apk2024-10-25 22:34 4.6K 
[   ]caps2esc-0.3.2-r0.apk2024-10-25 22:29 4.6K 
[   ]libb64-2.0.0.1-r0.apk2024-10-25 22:32 4.6K 
[   ]php82-pecl-apfd-1.0.3-r0.apk2024-10-25 22:34 4.6K 
[   ]perl-perlio-locale-0.10-r13.apk2025-06-30 09:03 4.6K 
[   ]way-displays-doc-1.14.1-r0.apk2025-07-05 18:46 4.6K 
[   ]ffsend-zsh-completion-0.2.76-r4.apk2024-10-25 22:30 4.6K 
[   ]perl-uri-redis-doc-0.02-r0.apk2024-10-25 22:34 4.6K 
[   ]ticker-bash-completion-4.8.1-r3.apk2025-07-10 21:45 4.6K 
[   ]perl-pod-cpandoc-0.16-r6.apk2024-10-25 22:34 4.6K 
[   ]apache2-mod-realdoc-1-r1.apk2024-10-25 22:29 4.6K 
[   ]hub-bash-completion-2.14.2-r32.apk2025-07-10 21:45 4.6K 
[   ]fnf-doc-0.1-r0.apk2024-10-25 22:30 4.6K 
[   ]perl-lingua-en-words2nums-0.18-r0.apk2025-06-07 16:55 4.6K 
[   ]perl-algorithm-cron-doc-0.10-r4.apk2024-10-25 22:34 4.6K 
[   ]tomcat9-openrc-9.0.105-r0.apk2025-06-08 18:42 4.6K 
[   ]wiki-tui-doc-0.8.2-r1.apk2024-10-25 22:37 4.6K 
[   ]py3-hurry.filesize-0.9-r8.apk2024-10-25 22:34 4.6K 
[   ]z-1.12-r0.apk2024-10-25 22:37 4.6K 
[   ]ry-0.5.2-r1.apk2024-10-25 22:35 4.6K 
[   ]py3-visitor-0.1.3-r7.apk2024-10-25 22:34 4.6K 
[   ]apt-mirror-doc-0.5.4-r0.apk2024-10-25 22:29 4.6K 
[   ]reflex-dev-20241231-r0.apk2025-06-21 09:06 4.6K 
[   ]perl-tickit-widget-entry-plugin-completion-0.02-r0.apk2024-10-25 22:34 4.6K 
[   ]perl-signature-attribute-checked-doc-0.06-r1.apk2025-06-30 09:03 4.6K 
[   ]libuecc-dev-7-r4.apk2025-03-03 17:06 4.6K 
[   ]surf-doc-2.1-r3.apk2024-10-25 22:36 4.6K 
[   ]py3-bottle-websocket-0.2.9-r8.apk2024-10-25 22:34 4.6K 
[   ]spread-sheet-widget-doc-0.10-r0.apk2024-10-25 22:36 4.6K 
[   ]cataclysm-dda-doc-0h-r0.apk2025-03-20 01:48 4.6K 
[   ]py3-ioctl-opt-pyc-1.3-r0.apk2025-01-27 22:52 4.6K 
[   ]fbcur-1.0.1-r1.apk2024-10-25 22:30 4.6K 
[   ]py3-pip-system-certs-pyc-4.0-r1.apk2024-10-25 22:34 4.7K 
[   ]clevis-extra-pins-0_git20230629-r0.apk2024-10-25 22:30 4.7K 
[   ]eboard-doc-1.1.3-r1.apk2024-10-25 22:30 4.7K 
[   ]quodlibet-bash-completion-4.6.0-r2.apk2025-05-12 23:26 4.7K 
[   ]perl-catalyst-plugin-stacktrace-0.12-r0.apk2025-06-14 04:46 4.7K 
[   ]perl-class-c3-adopt-next-doc-0.14-r0.apk2025-03-19 14:13 4.7K 
[   ]envsubst-0.1-r1.apk2024-10-25 22:30 4.7K 
[   ]findtow-0.1-r0.apk2024-10-25 22:30 4.7K 
[   ]perl-tickit-widget-floatbox-0.11-r0.apk2024-10-25 22:34 4.7K 
[   ]py3-bottle-sqlite-0.2.0-r7.apk2024-10-25 22:34 4.7K 
[   ]pdf2svg-0.2.3-r1.apk2024-10-25 22:34 4.7K 
[   ]perl-url-encode-doc-0.03-r4.apk2024-10-25 22:34 4.7K 
[   ]py3-crc16-pyc-0.1.1-r10.apk2024-10-25 22:34 4.7K 
[   ]perl-module-path-0.19-r0.apk2025-06-08 18:40 4.7K 
[   ]octoprint-creality2xfix-0.0.4-r2.apk2024-10-25 22:33 4.7K 
[   ]soundconverter-doc-4.1.0-r0.apk2025-06-24 18:41 4.7K 
[   ]i2util-doc-4.2.1-r1.apk2024-10-25 22:31 4.7K 
[   ]mkdocs-bootswatch-pyc-1.1-r5.apk2024-10-25 22:32 4.7K 
[   ]rattler-build-fish-completion-0.18.0-r0.apk2024-10-25 22:34 4.7K 
[   ]perl-devel-findperl-0.016-r0.apk2025-06-08 18:40 4.7K 
[   ]jsmn-1.1.0-r2.apk2024-10-25 22:31 4.7K 
[   ]ansiweather-1.19.0-r1.apk2024-10-25 22:29 4.7K 
[   ]console_bridge-dev-1.0.2-r0.apk2024-10-25 22:30 4.7K 
[   ]perl-mojo-reactor-ioasync-1.002-r0.apk2024-10-25 22:34 4.7K 
[   ]xmag-doc-1.0.8-r0.apk2024-10-25 22:37 4.7K 
[   ]py3-doi-pyc-0.2-r0.apk2025-04-15 03:17 4.7K 
[   ]perl-linux-pid-0.04-r15.apk2025-06-30 09:03 4.8K 
[   ]py3-ovos-microphone-plugin-alsa-pyc-0.1.0-r0.apk2024-10-25 22:34 4.8K 
[   ]py3-flask-cdn-1.5.3-r8.apk2024-10-25 22:34 4.8K 
[   ]ruby-minitest-proveit-1.0.0-r0.apk2025-07-06 20:54 4.8K 
[   ]hx-doc-1.0.15-r0.apk2024-10-25 22:31 4.8K 
[   ]perl-test-requires-git-1.008-r0.apk2024-10-25 22:34 4.8K 
[   ]ruby-build-doc-20250507-r0.apk2025-05-15 15:21 4.8K 
[   ]perl-email-reply-doc-1.204-r5.apk2024-10-25 22:34 4.8K 
[   ]perl-alien-libgumbo-doc-0.05-r1.apk2025-06-30 09:03 4.8K 
[   ]php85-ctype-8.5.0_alpha1-r0.apk2025-07-02 19:08 4.8K 
[   ]perl-encode-detect-doc-1.01-r1.apk2025-06-30 09:03 4.8K 
[   ]py3-bottle-sqlalchemy-0.4.3-r8.apk2024-10-25 22:34 4.8K 
[   ]trippy-zsh-completion-0.13.0-r0.apk2025-05-19 01:20 4.8K 
[   ]perl-catalyst-plugin-session-state-cookie-doc-0.18-r0.apk2025-04-20 06:32 4.9K 
[   ]php81-ctype-8.1.33-r0.apk2025-07-03 00:13 4.9K 
[   ]perl-catalyst-plugin-session-state-cookie-0.18-r0.apk2025-04-20 06:32 4.9K 
[   ]perl-test-utf8-doc-1.03-r0.apk2024-11-16 16:08 4.9K 
[   ]perl-xml-rpc-doc-2.1-r0.apk2024-10-25 22:34 4.9K 
[   ]perl-git-version-compare-doc-1.005-r0.apk2024-10-25 22:34 4.9K 
[   ]perl-multidimensional-0.014-r1.apk2025-06-30 09:03 4.9K 
[   ]py3-bottle-api-0.0.4-r7.apk2024-10-25 22:34 4.9K 
[   ]ckb-next-dev-0.6.2-r0.apk2025-03-19 14:12 4.9K 
[   ]perl-expect-simple-doc-0.04-r0.apk2025-04-20 06:38 4.9K 
[   ]py3-sphinxcontrib-slide-1.0.0-r4.apk2025-05-12 23:26 4.9K 
[   ]ngs-vim-0.2.14-r0.apk2024-10-25 22:33 4.9K 
[   ]perl-pod-cpandoc-doc-0.16-r6.apk2024-10-25 22:34 4.9K 
[   ]perl-template-plugin-number-format-1.06-r4.apk2024-10-25 22:34 4.9K 
[   ]catcodec-doc-1.0.5-r2.apk2024-10-25 22:29 4.9K 
[   ]py3-scs-pyc-3.2.3-r4.apk2024-10-25 22:34 4.9K 
[   ]perl-dancer-plugin-dbic-0.2104-r5.apk2024-10-25 22:34 4.9K 
[   ]py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk2024-10-25 22:34 4.9K 
[   ]xfd-doc-1.1.4-r0.apk2024-10-25 22:37 4.9K 
[   ]py3-pytest-datadir-pyc-1.7.1-r0.apk2025-06-04 13:17 4.9K 
[   ]perl-moosex-traits-pluggable-0.12-r0.apk2025-05-01 08:30 4.9K 
[   ]openslide-doc-3.4.1-r3.apk2024-10-25 22:34 4.9K 
[   ]py3-flask-autorouter-pyc-0.2.2-r3.apk2024-10-25 22:34 4.9K 
[   ]perl-test-settings-0.003-r0.apk2024-10-25 22:34 5.0K 
[   ]perl-uri-tcp-doc-2.0.0-r0.apk2024-10-25 22:34 5.0K 
[   ]py3-django-taggit-serializer-pyc-0.1.7-r8.apk2024-10-25 22:34 5.0K 
[   ]git-revise-doc-0.7.0-r5.apk2024-10-25 22:31 5.0K 
[   ]lomiri-action-api-dev-1.2.0-r0.apk2025-04-11 07:48 5.0K 
[   ]py3-print-color-pyc-0.4.6-r0.apk2024-10-25 22:34 5.0K 
[   ]perl-xml-parser-style-easytree-0.09-r0.apk2024-10-25 22:34 5.0K 
[   ]perl-test-redisserver-0.23-r0.apk2024-10-25 22:34 5.0K 
[   ]perl-catalyst-controller-actionrole-0.17-r0.apk2025-04-04 07:39 5.0K 
[   ]perl-template-tiny-doc-1.15-r0.apk2025-06-17 06:29 5.0K 
[   ]mangal-bash-completion-4.0.6-r19.apk2025-07-10 21:45 5.0K 
[   ]py3-flask-accept-0.0.6-r1.apk2024-10-25 22:34 5.0K 
[   ]perl-asa-doc-1.04-r0.apk2025-06-11 18:35 5.0K 
[   ]py3-ask-0.0.8-r8.apk2024-10-25 22:34 5.0K 
[   ]libdbusaccess-dev-1.0.20-r0.apk2025-04-15 03:17 5.0K 
[   ]projectsandcastle-loader-0_git20200307-r1.apk2024-10-25 22:34 5.0K 
[   ]py3-ovos-phal-plugin-connectivity-events-pyc-0.1.1-r0.apk2024-11-21 14:31 5.0K 
[   ]k3sup-bash-completion-0.13.6-r6.apk2025-07-10 21:45 5.0K 
[   ]ko-bash-completion-0.17.1-r6.apk2025-07-10 21:45 5.0K 
[   ]perl-protocol-redis-doc-1.0021-r0.apk2024-10-25 22:34 5.0K 
[   ]lomiri-thumbnailer-dev-3.0.4-r2.apk2025-02-14 16:28 5.0K 
[   ]devpod-bash-completion-0.6.15-r5.apk2025-07-10 21:44 5.1K 
[   ]lxd-bash-completion-5.0.3-r12.apk2025-07-10 21:45 5.1K 
[   ]zot-cli-bash-completion-2.1.2-r5.apk2025-07-10 21:46 5.1K 
[   ]linux-timemachine-1.3.2-r0.apk2024-10-25 22:32 5.1K 
[   ]cilium-cli-bash-completion-0.16.13-r6.apk2025-07-10 21:44 5.1K 
[   ]xfce4-hamster-plugin-lang-1.17-r0.apk2024-10-25 22:37 5.1K 
[   ]cargo-run-bin-doc-1.7.2-r0.apk2024-10-25 22:29 5.1K 
[   ]py3-pygpgme-pyc-0.3.1-r9.apk2024-10-25 22:34 5.1K 
[   ]hubble-cli-bash-completion-0.13.6-r6.apk2025-07-10 21:45 5.1K 
[   ]abnfgen-doc-0.21-r0.apk2025-05-27 23:26 5.1K 
[   ]perl-class-c3-adopt-next-0.14-r0.apk2025-03-19 14:13 5.1K 
[   ]py3-flask-autorouter-0.2.2-r3.apk2024-10-25 22:34 5.1K 
[   ]perl-test-api-0.010-r2.apk2024-10-25 22:34 5.1K 
[   ]perl-algorithm-c3-doc-0.11-r1.apk2024-10-25 22:34 5.1K 
[   ]wmctrl-doc-1.07-r1.apk2024-10-25 22:37 5.1K 
[   ]gamemode-dev-1.8.2-r0.apk2025-02-06 00:28 5.1K 
[   ]minidyndns-doc-1.3.0-r3.apk2024-10-25 22:32 5.1K 
[   ]perl-moosex-markasmethods-0.15-r0.apk2025-06-04 19:22 5.1K 
[   ]perl-anyevent-dns-etchosts-0.0105-r0.apk2024-10-25 22:34 5.1K 
[   ]logc-config-0.5.0-r1.apk2025-06-13 16:01 5.1K 
[   ]perl-algorithm-permute-doc-0.17-r1.apk2025-06-30 09:03 5.1K 
[   ]cargo-shuttle-bash-completion-0.56.1-r0.apk2025-07-08 10:16 5.1K 
[   ]virtctl-bash-completion-1.5.1-r1.apk2025-07-10 21:45 5.1K 
[   ]kubepug-bash-completion-1.7.1-r11.apk2025-07-10 21:45 5.1K 
[   ]lxd-feature-bash-completion-5.20-r12.apk2025-07-10 21:45 5.1K 
[   ]serialdv-dev-1.1.4-r1.apk2025-02-09 00:40 5.1K 
[   ]bomctl-bash-completion-0.1.9-r7.apk2025-07-10 21:44 5.1K 
[   ]py3-radon-doc-6.0.1-r2.apk2024-10-25 22:34 5.1K 
[   ]py3-click-default-group-1.2.4-r1.apk2024-10-25 22:34 5.1K 
[   ]sing-box-bash-completion-1.11.15-r0.apk2025-07-10 21:45 5.1K 
[   ]kine-doc-0.10.1-r14.apk2025-07-10 21:45 5.1K 
[   ]perl-url-encode-0.03-r4.apk2024-10-25 22:34 5.2K 
[   ]perl-path-iter-doc-0.2-r3.apk2024-10-25 22:34 5.2K 
[   ]ruby-minitest-focus-1.3.1-r0.apk2025-07-06 20:54 5.2K 
[   ]perl-anyevent-future-0.05-r0.apk2024-10-25 22:34 5.2K 
[   ]perl-ffi-platypus-type-enum-doc-0.06-r0.apk2024-10-25 22:34 5.2K 
[   ]perl-color-ansi-util-doc-0.165-r0.apk2024-10-25 22:34 5.2K 
[   ]perl-net-irr-doc-0.10-r0.apk2024-10-25 22:34 5.2K 
[   ]py3-bottle-rest-pyc-0.6.0-r1.apk2024-10-25 22:34 5.2K 
[   ]tre-dev-0.8.0-r2.apk2024-10-25 22:36 5.2K 
[   ]perl-digest-bcrypt-doc-1.212-r1.apk2024-10-25 22:34 5.2K 
[   ]reaction-tools-2.1.1-r0.apk2025-07-08 22:31 5.2K 
[   ]perl-number-misc-1.2-r5.apk2024-10-25 22:34 5.2K 
[   ]minimodem-doc-0.24-r1.apk2024-10-25 22:32 5.2K 
[   ]perl-moosex-markasmethods-doc-0.15-r0.apk2025-06-04 19:22 5.2K 
[   ]py3-flake8-blind-except-0.2.1-r4.apk2024-10-25 22:34 5.2K 
[   ]perl-ffi-platypus-type-enum-0.06-r0.apk2024-10-25 22:34 5.2K 
[   ]py3-bottle-api-pyc-0.0.4-r7.apk2024-10-25 22:34 5.2K 
[   ]perl-lingua-stem-it-0.02-r0.apk2025-06-06 16:57 5.2K 
[   ]perl-path-iter-0.2-r3.apk2024-10-25 22:34 5.2K 
[   ]perl-text-table-sprintf-doc-0.008-r0.apk2024-10-25 22:34 5.2K 
[   ]zlevis-1.3-r0.apk2025-03-19 14:14 5.2K 
[   ]lockrun-1.1.3-r1.apk2024-10-25 22:32 5.2K 
[   ]zita-njbridge-doc-0.4.8-r1.apk2024-10-25 22:37 5.2K 
[   ]py3-bottle-sqlite-pyc-0.2.0-r7.apk2024-10-25 22:34 5.2K 
[   ]tree-sitter-git-rebase-0_git20240722-r0.apk2025-03-19 14:13 5.2K 
[   ]mobpass-pyc-0.2-r6.apk2024-10-25 22:32 5.2K 
[   ]perl-snowball-swedish-1.2-r0.apk2025-06-08 18:40 5.2K 
[   ]dnsenum-doc-1.3.2-r0.apk2024-10-25 22:30 5.2K 
[   ]json2tsv-doc-1.2-r0.apk2024-10-25 22:31 5.2K 
[   ]py3-cjkwrap-pyc-2.2-r6.apk2025-05-14 21:18 5.2K 
[   ]py3-iterable-io-pyc-1.0.0-r0.apk2024-10-25 22:34 5.3K 
[   ]perl-snowball-norwegian-1.2-r0.apk2025-06-08 18:40 5.3K 
[   ]edward-doc-1.1.0-r0.apk2024-10-25 22:30 5.3K 
[   ]git-bug-bash-completion-0.8.1-r2.apk2025-07-10 21:44 5.3K 
[   ]gtk-session-lock-dev-0.2.0-r0.apk2025-01-31 17:17 5.3K 
[   ]perl-test-memorygrowth-doc-0.05-r0.apk2024-10-25 22:34 5.3K 
[   ]perl-string-compare-constanttime-doc-0.321-r7.apk2025-06-30 09:03 5.3K 
[   ]py3-marshmallow-enum-1.5.1-r7.apk2024-10-25 22:34 5.3K 
[   ]ocaml-mirage-random-dev-3.0.0-r3.apk2024-10-25 22:33 5.3K 
[   ]xmp-doc-4.2.0-r0.apk2024-10-25 22:37 5.3K 
[   ]py3-jaraco.logging-3.4.0-r0.apk2025-06-18 00:12 5.3K 
[   ]perl-lingua-en-inflect-phrase-0.20-r0.apk2025-06-09 14:06 5.3K 
[   ]lua-resty-redis-0.29-r0.apk2024-10-25 22:32 5.3K 
[   ]py3-flake8-snippets-0.2-r8.apk2024-10-25 22:34 5.3K 
[   ]perl-dbicx-sugar-doc-0.0200-r5.apk2024-10-25 22:34 5.3K 
[   ]py3-flask-basicauth-0.2.0-r9.apk2024-10-25 22:34 5.3K 
[   ]perl-class-c3-componentised-doc-1.001002-r2.apk2024-10-25 22:34 5.3K 
[   ]perl-guard-doc-1.023-r10.apk2025-06-30 09:03 5.3K 
[   ]php82-snappy-0.2.3-r0.apk2025-04-09 20:19 5.3K 
[   ]perl-moosex-emulate-class-accessor-fast-doc-0.009032-r0.apk2025-03-19 14:13 5.3K 
[   ]perl-sys-syscall-0.25-r10.apk2024-10-25 22:34 5.3K 
[   ]php84-snappy-0.2.3-r0.apk2025-04-09 20:19 5.3K 
[   ]perl-dancer-plugin-dbic-doc-0.2104-r5.apk2024-10-25 22:34 5.4K 
[   ]perl-text-table-sprintf-0.008-r0.apk2024-10-25 22:34 5.4K 
[   ]py3-pickle-secure-pyc-0.99.9-r1.apk2024-10-25 22:34 5.4K 
[   ]walk-sor-0_git20190920-r1.apk2024-10-25 22:37 5.4K 
[   ]perl-scalar-readonly-0.03-r2.apk2025-06-30 09:03 5.4K 
[   ]py3-flake8-isort-pyc-6.1.1-r1.apk2024-10-25 22:34 5.4K 
[   ]startup-fish-completion-2.0.3-r5.apk2024-10-25 22:36 5.4K 
[   ]libmpfi-dev-1.5.4-r2.apk2024-10-25 22:32 5.4K 
[   ]perl-catalyst-plugin-configloader-0.35-r0.apk2025-03-20 17:50 5.4K 
[   ]ruby-minitest-server-1.0.8-r0.apk2025-07-06 20:54 5.4K 
[   ]perl-net-async-redis-xs-doc-1.001-r2.apk2025-06-30 09:03 5.4K 
[   ]perl-git-version-compare-1.005-r0.apk2024-10-25 22:34 5.4K 
[   ]raku-log-timeline-doc-0.5.2-r0.apk2025-06-07 09:42 5.4K 
[   ]perl-object-signature-doc-1.08-r0.apk2025-04-04 13:57 5.4K 
[   ]perl-xml-parser-style-easytree-doc-0.09-r0.apk2024-10-25 22:34 5.4K 
[   ]perl-anyevent-future-doc-0.05-r0.apk2024-10-25 22:34 5.4K 
[   ]perl-lingua-pt-stemmer-0.02-r0.apk2025-06-07 04:00 5.4K 
[   ]perl-html-gumbo-doc-0.18-r2.apk2025-06-30 09:03 5.4K 
[   ]py3-setuptools-lint-0.6.0-r9.apk2024-10-25 22:34 5.4K 
[   ]perl-moosex-emulate-class-accessor-fast-0.009032-r0.apk2025-03-19 14:13 5.4K 
[   ]perl-test-class-tiny-doc-0.03-r0.apk2024-10-25 22:34 5.4K 
[   ]rattler-build-zsh-completion-0.18.0-r0.apk2024-10-25 22:34 5.4K 
[   ]py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1.apk2024-10-25 22:34 5.4K 
[   ]perl-string-toidentifier-en-0.12-r0.apk2025-06-09 14:06 5.4K 
[   ]perl-dancer-session-cookie-0.30-r2.apk2024-10-25 22:34 5.5K 
[   ]py3-pycolorterm-0.2.1-r6.apk2024-10-25 22:34 5.5K 
[   ]vbindiff-doc-3.0_beta5-r2.apk2025-05-25 09:50 5.5K 
[   ]tick-doc-1.2.2-r0.apk2025-01-14 02:26 5.5K 
[   ]perl-sort-naturally-doc-1.03-r4.apk2024-10-25 22:34 5.5K 
[   ]perl-net-irr-0.10-r0.apk2024-10-25 22:34 5.5K 
[   ]perl-parse-distname-0.05-r0.apk2025-07-11 10:46 5.5K 
[   ]perl-data-validate-domain-doc-0.15-r0.apk2024-10-25 22:34 5.5K 
[   ]luksmeta-doc-9-r0.apk2024-10-25 22:32 5.5K 
[   ]base64c-dev-0.2.1-r0.apk2024-10-25 22:29 5.5K 
[   ]perl-expect-simple-0.04-r0.apk2025-04-20 06:38 5.5K 
[   ]autoconf-policy-0.1-r0.apk2024-10-25 22:29 5.5K 
[   ]font-fantasque-sans-doc-1.8.0-r0.apk2024-10-25 22:30 5.5K 
[   ]perl-moosex-object-pluggable-doc-0.0014-r0.apk2025-04-21 19:33 5.5K 
[   ]flightgear-bash-completion-2024.1.1-r0.apk2025-03-05 01:19 5.5K 
[   ]perl-template-tiny-1.15-r0.apk2025-06-17 06:29 5.5K 
[   ]argocd-doc-3.0.0-r2.apk2025-07-10 21:44 5.5K 
[   ]ghq-doc-1.8.0-r2.apk2025-07-10 21:44 5.5K 
[   ]perl-conf-libconfig-doc-1.0.3-r2.apk2025-06-30 09:03 5.5K 
[   ]php85-sysvsem-8.5.0_alpha1-r0.apk2025-07-02 19:08 5.5K 
[   ]py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk2024-10-25 22:34 5.5K 
[   ]libglib-testing-dev-0.1.1-r0.apk2025-05-08 13:05 5.5K 
[   ]php81-sysvsem-8.1.33-r0.apk2025-07-03 00:13 5.5K 
[   ]rofi-json-menu-0.2.0-r1.apk2024-10-25 22:35 5.5K 
[   ]py3-requests-wsgi-adapter-0.4.1-r1.apk2024-10-25 22:34 5.5K 
[   ]kubeseal-doc-0.30.0-r1.apk2025-07-10 21:45 5.5K 
[   ]wol-doc-0.7.1-r3.apk2024-10-25 22:37 5.5K 
[   ]perl-class-c3-componentised-1.001002-r2.apk2024-10-25 22:34 5.5K 
[   ]upterm-bash-completion-0.14.3-r6.apk2025-07-10 21:45 5.5K 
[   ]libhwpwm-0.4.4-r0.apk2024-10-25 22:32 5.5K 
[   ]libbloom-2.0-r0.apk2024-10-25 22:32 5.5K 
[   ]prosody-mod-mam_muc-0.11_hg20201208-r0.apk2024-10-25 22:34 5.6K 
[   ]py3-rst-0.1-r9.apk2024-10-25 22:34 5.6K 
[   ]py3-sphinxcontrib-slide-pyc-1.0.0-r4.apk2025-05-12 23:26 5.6K 
[   ]qperf-doc-0.4.11-r2.apk2025-05-12 23:26 5.6K 
[   ]py3-flask-loopback-1.4.7-r7.apk2024-10-25 22:34 5.6K 
[   ]perl-list-keywords-doc-0.11-r1.apk2025-06-30 09:03 5.6K 
[   ]perl-test-utf8-1.03-r0.apk2024-11-16 16:08 5.6K 
[   ]perl-digest-bcrypt-1.212-r1.apk2024-10-25 22:34 5.6K 
[   ]perl-string-toidentifier-en-doc-0.12-r0.apk2025-06-09 14:06 5.6K 
[   ]kompose-bash-completion-1.31.2-r11.apk2025-07-10 21:45 5.6K 
[   ]mrsh-0_git20210518-r1.apk2024-10-25 22:32 5.6K 
[   ]hexedit-doc-1.6_git20230905-r0.apk2024-10-25 22:31 5.6K 
[   ]fpp-doc-0.9.5-r0.apk2024-10-25 22:30 5.6K 
[   ]py3-synapse-auto-accept-invite-pyc-1.2.0-r0.apk2024-10-25 22:34 5.6K 
[   ]neard-doc-0.19-r0.apk2024-10-25 22:32 5.6K 
[   ]perl-protocol-redis-1.0021-r0.apk2024-10-25 22:34 5.6K 
[   ]py3-flask-markdown-0.3-r8.apk2024-10-25 22:34 5.6K 
[   ]perl-data-section-doc-0.200008-r0.apk2025-07-07 19:02 5.6K 
[   ]py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk2024-10-25 22:34 5.6K 
[   ]neocmakelsp-doc-0.8.22-r0.apk2025-05-05 10:12 5.6K 
[   ]perl-variable-disposition-doc-0.005-r0.apk2024-10-25 22:34 5.7K 
[   ]ocaml-tophide-1.0.4-r2.apk2024-10-25 22:33 5.7K 
[   ]py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk2024-10-25 22:34 5.7K 
[   ]perl-lwp-useragent-cached-doc-0.08-r1.apk2024-10-25 22:34 5.7K 
[   ]perl-algorithm-c3-0.11-r1.apk2024-10-25 22:34 5.7K 
[   ]perl-aliased-0.34-r4.apk2024-10-25 22:34 5.7K 
[   ]perl-freezethaw-doc-0.5001-r2.apk2024-10-25 22:34 5.7K 
[   ]harminv-doc-1.4.2-r1.apk2024-10-25 22:31 5.7K 
[   ]hyprland-plugins-doc-0.49.0-r0.apk2025-05-24 22:10 5.7K 
[   ]libhwpwm-dev-0.4.4-r0.apk2024-10-25 22:32 5.7K 
[   ]perl-aliased-doc-0.34-r4.apk2024-10-25 22:34 5.7K 
[   ]py3-sphinxcontrib-bitbucket-1.0-r8.apk2024-10-25 22:34 5.7K 
[   ]bgs-0.8-r1.apk2024-10-25 22:29 5.7K 
[   ]git2json-pyc-0.2.3-r8.apk2024-10-25 22:31 5.7K 
[   ]perl-object-array-0.060-r0.apk2024-10-25 22:34 5.7K 
[   ]perl-lwp-online-doc-1.08-r0.apk2024-10-25 22:34 5.7K 
[   ]py3-flake8-polyfill-pyc-1.0.2-r5.apk2024-10-25 22:34 5.7K 
[   ]memdump-1.01-r1.apk2024-10-25 22:32 5.7K 
[   ]py3-uacme-desec-1.2.1-r0.apk2024-10-25 22:34 5.7K 
[   ]turnstile-doc-0.1.10-r3.apk2024-10-25 22:36 5.7K 
[   ]pfetch-doc-1.9.0-r0.apk2025-04-10 15:14 5.7K 
[   ]libvoikko-doc-4.3.2-r1.apk2024-10-25 22:32 5.7K 
[   ]perl-xml-rpc-2.1-r0.apk2024-10-25 22:34 5.7K 
[   ]py3-sphinxcontrib-cacoo-2.0.0-r7.apk2024-10-25 22:34 5.7K 
[   ]libnfcdef-dev-1.0.1-r0.apk2025-04-15 03:17 5.7K 
[   ]termbox-dev-1.1.2-r1.apk2024-10-25 22:36 5.7K 
[   ]py3-notifymail-pyc-1.1-r8.apk2024-10-25 22:34 5.7K 
[   ]perl-catalyst-actionrole-acl-doc-0.07-r0.apk2025-05-07 09:38 5.7K 
[   ]php81-gettext-8.1.33-r0.apk2025-07-03 00:13 5.7K 
[   ]apt-swarm-fish-completion-0.5.1-r0.apk2025-05-26 12:55 5.7K 
[   ]utop-doc-2.9.1-r4.apk2024-10-25 22:36 5.7K 
[   ]perl-io-sessiondata-1.03-r3.apk2024-10-25 22:34 5.8K 
[   ]dfu-programmer-doc-1.1.0-r0.apk2024-10-25 22:30 5.8K 
[   ]perl-shell-guess-doc-0.10-r0.apk2025-06-07 16:55 5.8K 
[   ]perl-module-path-doc-0.19-r0.apk2025-06-08 18:40 5.8K 
[   ]py3-simplematch-pyc-1.4-r1.apk2024-10-25 22:34 5.8K 
[   ]perl-term-size-0.211-r5.apk2025-06-30 09:03 5.8K 
[   ]py3-flask-bcrypt-pyc-1.0.1-r5.apk2024-10-25 22:34 5.8K 
[   ]herbe-1.0.0-r0.apk2024-10-25 22:31 5.8K 
[   ]gsimplecal-doc-2.5.1-r0.apk2024-10-25 22:31 5.8K 
[   ]py3-slixmpp-doc-1.8.5-r2.apk2024-10-25 22:34 5.8K 
[   ]stern-bash-completion-1.32.0-r5.apk2025-07-10 21:45 5.8K 
[   ]libb64-dev-2.0.0.1-r0.apk2024-10-25 22:32 5.8K 
[   ]startup-dev-2.0.3-r5.apk2024-10-25 22:36 5.8K 
[   ]perl-data-validate-domain-0.15-r0.apk2024-10-25 22:34 5.8K 
[   ]py3-grequests-pyc-0.7.0-r3.apk2025-05-14 20:13 5.8K 
[   ]emacs-emacsql-psql-3.1.1_git20240714-r0.apk2024-10-25 22:30 5.9K 
[   ]perl-data-validate-ip-doc-0.31-r1.apk2024-10-25 22:34 5.9K 
[   ]perl-carp-repl-doc-0.18-r0.apk2025-04-26 19:12 5.9K 
[   ]dbus-broker-doc-37-r0.apk2025-06-17 12:17 5.9K 
[   ]perl-test-class-tiny-0.03-r0.apk2024-10-25 22:34 5.9K 
[   ]perl-catalyst-plugin-session-store-dbic-0.14-r0.apk2025-06-13 20:18 5.9K 
[   ]perl-ppi-xs-0.910-r2.apk2025-06-30 09:03 5.9K 
[   ]prosody-mod-mam-0.11_hg20201208-r0.apk2024-10-25 22:34 5.9K 
[   ]apt-swarm-zsh-completion-0.5.1-r0.apk2025-05-26 12:55 5.9K 
[   ]restart-services-doc-0.17.0-r0.apk2024-10-25 22:34 5.9K 
[   ]mlxl-0.1-r0.apk2024-10-25 22:32 5.9K 
[   ]perl-dbicx-sugar-0.0200-r5.apk2024-10-25 22:34 5.9K 
[   ]py3-pytest-expect-1.1.0-r10.apk2024-10-25 22:34 5.9K 
[   ]par2cmdline-turbo-doc-1.3.0-r0.apk2025-05-08 13:56 5.9K 
[   ]perl-syntax-operator-in-doc-0.10-r1.apk2025-06-30 09:03 5.9K 
[   ]py3-jaraco.versioning-1.1.0-r0.apk2024-10-25 22:34 5.9K 
[   ]deadbeef-soxr-20180801-r0.apk2024-10-25 22:30 5.9K 
[   ]jreleaser-doc-1.19.0-r0.apk2025-06-30 21:53 5.9K 
[   ]pipectl-0.4.1-r1.apk2024-10-25 22:34 5.9K 
[   ]py3-ticket-auth-0.1.4-r9.apk2024-10-25 22:34 6.0K 
[   ]perl-carp-repl-0.18-r0.apk2025-04-26 19:12 6.0K 
[   ]py3-flake8-debugger-pyc-4.1.2-r4.apk2024-10-25 22:34 6.0K 
[   ]php81-shmop-8.1.33-r0.apk2025-07-03 00:13 6.0K 
[   ]perl-shell-guess-0.10-r0.apk2025-06-07 16:55 6.0K 
[   ]perl-moosex-object-pluggable-0.0014-r0.apk2025-04-21 19:33 6.0K 
[   ]py3-pytap2-pyc-2.3.0-r0.apk2024-10-25 22:34 6.0K 
[   ]flawz-doc-0.3.0-r0.apk2024-11-03 22:06 6.0K 
[   ]f_scripts-f_phone-0.6-r1.apk2024-10-25 22:30 6.0K 
[   ]py3-setuptools-lint-pyc-0.6.0-r9.apk2024-10-25 22:34 6.0K 
[   ]hdf4-doc-4.2.15-r2.apk2024-10-25 22:31 6.0K 
[   ]mdcat-doc-2.7.1-r0.apk2024-12-14 19:04 6.0K 
[   ]py3-iterable-io-1.0.0-r0.apk2024-10-25 22:34 6.0K 
[   ]leptosfmt-doc-0.1.33-r0.apk2025-03-25 16:22 6.0K 
[   ]perl-test-settings-doc-0.003-r0.apk2024-10-25 22:34 6.0K 
[   ]tayga-doc-0.9.5-r0.apk2025-06-20 09:58 6.1K 
[   ]mint-x-theme-metacity-2.1.1-r0.apk2024-10-25 22:32 6.1K 
[   ]py3-class-doc-1.25-r1.apk2024-10-25 22:34 6.1K 
[   ]wl-ime-type-0.1.1-r0.apk2025-04-15 03:17 6.1K 
[   ]emacs-emacsql-mysql-3.1.1_git20240714-r0.apk2024-10-25 22:30 6.1K 
[   ]dislocker-doc-0.7.3-r5.apk2024-10-25 22:30 6.1K 
[   ]perl-check-unitcheck-0.13-r2.apk2025-06-30 09:03 6.1K 
[   ]symlinks-1.4.3-r0.apk2025-04-21 23:27 6.1K 
[   ]perl-test-distribution-doc-2.00-r1.apk2024-10-25 22:34 6.1K 
[   ]wgcf-bash-completion-2.2.26-r1.apk2025-07-10 21:45 6.1K 
[   ]py3-spinners-0.0.24-r5.apk2024-10-25 22:34 6.1K 
[   ]py3-rst.linker-2.6.0-r0.apk2024-10-25 22:34 6.1K 
[   ]glow-bash-completion-2.1.1-r1.apk2025-07-10 21:44 6.1K 
[   ]regal-bash-completion-0.33.1-r1.apk2025-07-10 21:45 6.1K 
[   ]tenv-bash-completion-4.7.6-r1.apk2025-07-10 21:45 6.1K 
[   ]py3-jaraco.versioning-pyc-1.1.0-r0.apk2024-10-25 22:34 6.1K 
[   ]virter-bash-completion-0.29.0-r1.apk2025-07-10 21:45 6.1K 
[   ]helmfile-bash-completion-1.1.2-r1.apk2025-07-10 21:44 6.1K 
[   ]py3-rst-pyc-0.1-r9.apk2024-10-25 22:34 6.1K 
[   ]py3-sphinxcontrib-textstyle-0.2.3-r8.apk2024-10-25 22:34 6.1K 
[   ]perl-algorithm-cron-0.10-r4.apk2024-10-25 22:34 6.1K 
[   ]py3-bottle-rest-0.6.0-r1.apk2024-10-25 22:34 6.1K 
[   ]py3-jaraco.logging-pyc-3.4.0-r0.apk2025-06-18 00:12 6.1K 
[   ]perl-net-patricia-doc-1.22-r13.apk2025-06-30 09:03 6.1K 
[   ]virtualgl-dev-3.1.3-r0.apk2025-04-21 23:45 6.1K 
[   ]curlftpfs-doc-0.9.2-r3.apk2024-10-25 22:30 6.1K 
[   ]perl-lingua-stem-fr-0.02-r0.apk2025-06-06 16:57 6.1K 
[   ]perl-bareword-filehandles-0.007-r1.apk2025-06-30 09:03 6.1K 
[   ]perl-devel-refcount-0.10-r2.apk2025-06-30 09:03 6.1K 
[   ]remind-caldav-pyc-0.8.0-r4.apk2024-10-25 22:34 6.1K 
[   ]perl-email-reply-1.204-r5.apk2024-10-25 22:34 6.1K 
[   ]shfm-doc-0.4.2-r1.apk2024-10-25 22:36 6.1K 
[   ]perl-lwp-online-1.08-r0.apk2024-10-25 22:34 6.2K 
[   ]py3-flask-qrcode-pyc-3.2.0-r0.apk2024-12-12 07:32 6.2K 
[   ]raku-io-socket-async-ssl-doc-0.8.2-r0.apk2025-06-07 09:42 6.2K 
[   ]perl-cgi-expand-doc-2.05-r4.apk2024-10-25 22:34 6.2K 
[   ]py3-doi-0.2-r0.apk2025-04-15 03:17 6.2K 
[   ]game-devices-udev-0.23-r0.apk2024-11-12 12:02 6.2K 
[   ]perl-test-toolbox-doc-0.4-r5.apk2024-10-25 22:34 6.2K 
[   ]grip-doc-4.2.4-r0.apk2024-10-25 22:31 6.2K 
[   ]neofetch-doc-7.1.0-r2.apk2024-11-06 22:47 6.2K 
[   ]perl-string-random-doc-0.32-r2.apk2024-10-25 22:34 6.2K 
[   ]kannel-doc-1.5.0-r11.apk2024-10-25 22:31 6.2K 
[   ]perl-html-selector-xpath-0.28-r0.apk2024-10-25 22:34 6.2K 
[   ]perl-throwable-1.001-r1.apk2024-10-25 22:34 6.2K 
[   ]perl-test-www-mechanize-catalyst-doc-0.62-r0.apk2025-04-20 06:32 6.2K 
[   ]perl-memoize-expirelru-0.56-r0.apk2025-06-08 18:40 6.2K 
[   ]py3-flake8-debugger-4.1.2-r4.apk2024-10-25 22:34 6.2K 
[   ]schismtracker-doc-20231029-r0.apk2024-10-25 22:35 6.2K 
[   ]git-graph-doc-0.6.0-r0.apk2024-11-26 00:38 6.2K 
[   ]py3-dbus-fast-doc-2.44.1-r0.apk2025-05-02 07:27 6.2K 
[   ]py3-flask-dbconfig-pyc-0.3.12-r8.apk2024-10-25 22:34 6.3K 
[   ]py3-spinners-pyc-0.0.24-r5.apk2024-10-25 22:34 6.3K 
[   ]py3-dweepy-pyc-0.3.0-r7.apk2024-10-25 22:34 6.3K 
[   ]kgraphviewer-dev-2.5.0-r0.apk2024-10-25 22:31 6.3K 
[   ]py3-pbkdf2-1.3-r7.apk2024-10-25 22:34 6.3K 
[   ]ocp-index-emacs-1.3.6-r0.apk2024-10-25 22:33 6.3K 
[   ]quickjs-ng-doc-0.10.1-r0.apk2025-05-16 19:13 6.3K 
[   ]icingaweb2-module-generictts-2.1.0-r0.apk2025-07-02 08:39 6.3K 
[   ]openfortivpn-doc-1.22.1-r0.apk2024-12-11 22:41 6.3K 
[   ]php85-shmop-8.5.0_alpha1-r0.apk2025-07-02 19:08 6.3K 
[   ]gron-doc-0.7.1-r26.apk2025-07-10 21:44 6.3K 
[   ]bgpq4-doc-1.15-r0.apk2024-10-25 22:29 6.3K 
[   ]py3-click-threading-0.5.0-r5.apk2024-10-25 22:34 6.3K 
[   ]perl-http-xsheaders-doc-0.400005-r2.apk2025-06-30 09:03 6.4K 
[   ]perl-crypt-saltedhash-doc-0.09-r5.apk2024-10-25 22:34 6.4K 
[   ]py3-ticket-auth-pyc-0.1.4-r9.apk2024-10-25 22:34 6.4K 
[   ]pantalaimon-doc-0.10.5-r4.apk2024-10-25 22:34 6.4K 
[   ]upterm-doc-0.14.3-r6.apk2025-07-10 21:45 6.4K 
[   ]perl-lwp-useragent-cached-0.08-r1.apk2024-10-25 22:34 6.4K 
[   ]isoinfo-0_git20131217-r1.apk2024-10-25 22:31 6.4K 
[   ]perl-indirect-doc-0.39-r2.apk2025-06-30 09:03 6.4K 
[   ]ruby-path_expander-1.1.3-r0.apk2025-07-06 20:54 6.4K 
[   ]libbamf-dev-0.5.6-r1.apk2024-10-25 22:32 6.4K 
[   ]perl-data-section-0.200008-r0.apk2025-07-07 19:02 6.4K 
[   ]perl-test-memorygrowth-0.05-r0.apk2024-10-25 22:34 6.4K 
[   ]ocaml-mmap-dev-1.2.0-r3.apk2024-10-25 22:33 6.4K 
[   ]alarmwakeup-0.2.1-r0.apk2024-10-25 22:29 6.4K 
[   ]perl-catalyst-plugin-session-store-dbic-doc-0.14-r0.apk2025-06-13 20:18 6.4K 
[   ]py3-python-jwt-pyc-4.1.0-r2.apk2025-05-15 23:23 6.5K 
[   ]clinfo-doc-3.0.23.01.25-r0.apk2024-10-25 22:30 6.5K 
[   ]plfit-dev-1.0.1-r0.apk2025-01-04 04:47 6.5K 
[   ]perl-catalyst-model-adaptor-0.10-r0.apk2025-04-15 03:17 6.5K 
[   ]lua5.2-psl-0.3-r0.apk2024-10-25 22:32 6.5K 
[   ]lua5.3-psl-0.3-r0.apk2024-10-25 22:32 6.5K 
[   ]repgrep-doc-0.15.0-r0.apk2024-10-25 22:34 6.5K 
[   ]lsix-1.8.2-r0.apk2024-10-25 22:32 6.5K 
[   ]ovpncc-doc-0.1_rc1-r0.apk2024-10-25 22:34 6.5K 
[   ]lol-html-dev-1.1.1-r1.apk2024-10-25 22:32 6.5K 
[   ]imediff-doc-2.6-r1.apk2024-10-25 22:31 6.5K 
[   ]py3-pymsteams-pyc-0.2.5-r0.apk2025-02-14 16:28 6.5K 
[   ]perl-tickit-widget-scrollbox-doc-0.12-r0.apk2024-10-25 22:34 6.5K 
[   ]watchbind-doc-0.2.1-r1.apk2024-10-25 22:37 6.6K 
[   ]perl-syntax-operator-equ-doc-0.10-r1.apk2025-06-30 09:03 6.6K 
[   ]perl-minion-backend-redis-doc-0.003-r0.apk2024-10-25 22:34 6.6K 
[   ]cutechess-cli-doc-1.3.1-r0.apk2024-10-25 22:30 6.6K 
[   ]lua5.1-psl-0.3-r0.apk2024-10-25 22:32 6.6K 
[   ]dcnnt-doc-0.10.0-r1.apk2024-10-25 22:30 6.6K 
[   ]py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk2024-10-25 22:34 6.6K 
[   ]php81-sysvshm-8.1.33-r0.apk2025-07-03 00:13 6.6K 
[   ]prometheus-ipmi-exporter-doc-1.8.0-r5.apk2025-05-12 06:04 6.6K 
[   ]py3-sphinxcontrib-git-pyc-11.0.0-r7.apk2024-10-25 22:34 6.6K 
[   ]bmk-doc-0.1-r0.apk2025-06-21 09:06 6.6K 
[   ]php85-sysvshm-8.5.0_alpha1-r0.apk2025-07-02 19:08 6.6K 
[   ]emacs-persist-0.6_git20240114-r0.apk2024-10-25 22:30 6.6K 
[   ]perl-cairo-gobject-1.005-r5.apk2025-06-30 09:03 6.6K 
[   ]qtmir-dev-0.7.2_git20250407-r1.apk2025-06-28 19:26 6.6K 
[   ]py3-tailer-pyc-0.4.1-r7.apk2024-10-25 22:34 6.6K 
[   ]py3-pytest-datadir-1.7.1-r0.apk2025-06-04 13:17 6.6K 
[   ]py3-rst.linker-pyc-2.6.0-r0.apk2024-10-25 22:34 6.6K 
[   ]apk-snap-3.1.1-r0.apk2024-10-25 22:29 6.6K 
[   ]ovos-messagebus-pyc-0.0.10-r0.apk2025-04-08 09:44 6.6K 
[   ]perl-devel-confess-doc-0.009004-r0.apk2024-10-25 22:34 6.7K 
[   ]perl-text-table-any-doc-0.117-r0.apk2024-10-25 22:34 6.7K 
[   ]perl-b-hooks-op-check-0.22-r1.apk2025-06-30 09:03 6.7K 
[   ]debconf-utils-1.5.82-r0.apk2024-10-25 22:30 6.7K 
[   ]serialdv-1.1.4-r1.apk2025-02-09 00:40 6.7K 
[   ]json2tsv-1.2-r0.apk2024-10-25 22:31 6.7K 
[   ]kubeone-bash-completion-1.10.0-r1.apk2025-07-10 21:45 6.7K 
[   ]php85-gettext-8.5.0_alpha1-r0.apk2025-07-02 19:08 6.7K 
[   ]extremetuxracer-doc-0.8.3-r0.apk2024-10-25 22:30 6.7K 
[   ]perl-storable-improved-0.1.3-r0.apk2024-10-25 22:34 6.7K 
[   ]py3-jaraco.stream-3.0.4-r0.apk2024-12-14 23:50 6.7K 
[   ]amber-mpris-dev-1.2.9-r0.apk2024-12-22 17:47 6.7K 
[   ]py3-flake8-print-5.0.0-r5.apk2024-10-25 22:34 6.7K 
[   ]rattler-build-doc-0.18.0-r0.apk2024-10-25 22:34 6.7K 
[   ]py3-grequests-0.7.0-r3.apk2025-05-14 20:13 6.7K 
[   ]perl-test-files-0.26-r0.apk2024-10-25 22:34 6.7K 
[   ]melange-bash-completion-0.29.1-r0.apk2025-07-10 21:45 6.8K 
[   ]perl-catalyst-authentication-credential-http-doc-1.018-r0.apk2025-04-04 07:38 6.8K 
[   ]zarchive-dev-0.1.2-r2.apk2024-10-25 22:37 6.8K 
[   ]perl-time-timegm-0.01-r10.apk2025-06-30 09:03 6.8K 
[   ]py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk2024-10-25 22:34 6.8K 
[   ]py3-uacme-desec-pyc-1.2.1-r0.apk2024-10-25 22:34 6.8K 
[   ]kompose-zsh-completion-1.31.2-r11.apk2025-07-10 21:45 6.8K 
[   ]perl-minion-backend-sqlite-doc-5.0.7-r0.apk2024-10-25 22:34 6.8K 
[   ]perl-cgi-struct-doc-1.21-r0.apk2025-03-19 14:13 6.8K 
[   ]perl-test-file-doc-1.995-r0.apk2025-04-19 19:53 6.8K 
[   ]php85-pecl-uploadprogress-2.0.2-r0.apk2025-07-02 20:59 6.8K 
[   ]pwauth-doc-2.3.11-r2.apk2024-10-25 22:34 6.8K 
[   ]cyrus-sasl-xoauth2-0.2-r1.apk2024-10-25 22:30 6.8K 
[   ]river-shifttags-0.2.1-r1.apk2025-05-12 23:26 6.8K 
[   ]php81-pecl-uploadprogress-2.0.2-r1.apk2024-10-25 22:34 6.8K 
[   ]php81-pecl-uuid-1.3.0-r0.apk2025-05-13 01:18 6.8K 
[   ]perl-shell-config-generate-doc-0.34-r0.apk2025-06-08 18:40 6.8K 
[   ]qoi-dev-0.0.0_git20230312-r0.apk2024-10-25 22:34 6.8K 
[   ]perl-archive-extract-doc-0.88-r1.apk2024-10-25 22:34 6.8K 
[   ]termcolor-dev-2.1.0-r0.apk2024-10-25 22:36 6.8K 
[   ]prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk2024-10-25 22:34 6.9K 
[   ]finger-0.5-r0.apk2024-10-25 22:30 6.9K 
[   ]py3-playsound-1.3.0-r1.apk2024-10-25 22:34 6.9K 
[   ]py3-tailer-0.4.1-r7.apk2024-10-25 22:34 6.9K 
[   ]perl-x-tiny-0.22-r0.apk2024-10-25 22:34 6.9K 
[   ]perl-cgi-expand-2.05-r4.apk2024-10-25 22:34 6.9K 
[   ]py3-banal-1.0.6-r4.apk2024-10-25 22:34 6.9K 
[   ]openslide-dev-3.4.1-r3.apk2024-10-25 22:34 6.9K 
[   ]halp-doc-0.2.0-r0.apk2024-10-25 22:31 6.9K 
[   ]dublin-traceroute-dev-0.4.2-r4.apk2024-10-25 22:30 6.9K 
[   ]perl-storable-improved-doc-0.1.3-r0.apk2024-10-25 22:34 6.9K 
[   ]perl-tickit-widget-menu-doc-0.16-r0.apk2024-10-25 22:34 6.9K 
[   ]ocaml-mirage-random-3.0.0-r3.apk2024-10-25 22:33 6.9K 
[   ]perl-constant-defer-doc-6-r5.apk2024-10-25 22:34 6.9K 
[   ]perl-crypt-saltedhash-0.09-r5.apk2024-10-25 22:34 7.0K 
[   ]raku-cbor-simple-doc-0.1.4-r0.apk2025-06-07 09:42 7.0K 
[   ]cpiped-0.1.0-r0.apk2024-10-25 22:30 7.0K 
[   ]apk-autoupdate-doc-0_git20210421-r1.apk2024-11-17 13:51 7.0K 
[   ]py3-pytest-expect-pyc-1.1.0-r10.apk2024-10-25 22:34 7.0K 
[   ]xload-1.1.4-r0.apk2024-10-25 22:37 7.0K 
[   ]perl-object-array-doc-0.060-r0.apk2024-10-25 22:34 7.0K 
[   ]amiitool-2-r2.apk2024-10-25 22:29 7.0K 
[   ]py3-pytap2-2.3.0-r0.apk2024-10-25 22:34 7.0K 
[   ]nkk-doc-0_git20221010-r0.apk2024-10-25 22:33 7.0K 
[   ]py3-flask-themer-pyc-2.0.0-r2.apk2024-10-25 22:34 7.0K 
[   ]py3-async-lru-2.0.5-r0.apk2025-03-19 14:13 7.0K 
[   ]xcape-1.2-r1.apk2025-05-14 20:13 7.0K 
[   ]py3-pip-system-certs-4.0-r1.apk2024-10-25 22:34 7.0K 
[   ]oil-doc-0.21.0-r0.apk2024-10-25 22:33 7.0K 
[   ]oils-for-unix-doc-0.31.0-r0.apk2025-06-23 07:24 7.0K 
[   ]tmpmail-1.2.3-r2.apk2024-10-25 22:36 7.0K 
[   ]cyrus-sasl-xoauth2-static-0.2-r1.apk2024-10-25 22:30 7.0K 
[   ]perl-devel-leak-0.03-r14.apk2025-06-30 09:03 7.0K 
[   ]perl-constant-generate-doc-0.17-r5.apk2024-10-25 22:34 7.0K 
[   ]spacectl-fish-completion-1.12.0-r2.apk2025-07-10 21:45 7.1K 
[   ]perl-uri-fetch-0.15-r0.apk2024-10-25 22:34 7.1K 
[   ]enlighten-0.9.2-r1.apk2024-10-25 22:30 7.1K 
[   ]py3-flake8-polyfill-1.0.2-r5.apk2024-10-25 22:34 7.1K 
[   ]py3-pbkdf2-pyc-1.3-r7.apk2024-10-25 22:34 7.1K 
[   ]adjtimex-doc-1.29-r0.apk2024-10-25 22:29 7.1K 
[   ]py3-x-wr-timezone-pyc-2.0.1-r0.apk2025-02-09 16:13 7.1K 
[   ]saait-0.8-r0.apk2024-10-25 22:35 7.1K 
[   ]boxes-doc-2.3.1-r0.apk2024-10-25 22:29 7.1K 
[   ]perl-test-expander-2.5.1-r0.apk2024-10-25 22:34 7.1K 
[   ]nvim-web-devicons-doc-0.100_git20241011-r0.apk2024-11-11 04:58 7.1K 
[   ]perl-full-1.004-r0.apk2024-10-25 22:34 7.1K 
[   ]ocaml-mmap-1.2.0-r3.apk2024-10-25 22:33 7.1K 
[   ]n30f-2.0-r3.apk2024-10-25 22:32 7.1K 
[   ]moon-buggy-doc-1.0.51-r1.apk2024-10-25 22:32 7.1K 
[   ]py3-flask-bcrypt-1.0.1-r5.apk2024-10-25 22:34 7.1K 
[   ]pmccabe-doc-2.8-r1.apk2024-10-25 22:34 7.1K 
[   ]perl-graphql-client-0.605-r0.apk2024-10-25 22:34 7.2K 
[   ]ovos-phal-pyc-0.2.7-r0.apk2024-11-21 14:31 7.2K 
[   ]perl-tickit-widget-menu-0.16-r0.apk2024-10-25 22:34 7.2K 
[   ]perl-string-crc32-2.100-r5.apk2025-06-30 09:03 7.2K 
[   ]py3-shodan-doc-1.31.0-r1.apk2024-10-25 22:34 7.2K 
[   ]pptpclient-doc-1.10.0-r6.apk2025-06-30 09:03 7.2K 
[   ]py3-banal-pyc-1.0.6-r4.apk2024-10-25 22:34 7.2K 
[   ]prosody-mod-cloud_notify-0.11_hg20201208-r0.apk2024-10-25 22:34 7.2K 
[   ]litterbox-doc-1.9-r1.apk2024-10-25 22:32 7.2K 
[   ]bkt-doc-0.8.0-r0.apk2024-10-25 22:29 7.3K 
[   ]perl-log-fu-doc-0.31-r4.apk2024-10-25 22:34 7.3K 
[   ]advancescan-doc-1.18-r1.apk2024-10-25 22:29 7.3K 
[   ]perl-color-ansi-util-0.165-r0.apk2024-10-25 22:34 7.3K 
[   ]perl-gtk2-ex-listmodelconcat-doc-11-r4.apk2024-10-25 22:34 7.3K 
[   ]keystone-dev-0.9.2-r6.apk2024-10-25 22:31 7.3K 
[   ]perl-string-compare-constanttime-0.321-r7.apk2025-06-30 09:03 7.3K 
[   ]py3-colorthief-0.2.1-r1.apk2024-10-25 22:34 7.3K 
[   ]bchunk-1.2.2-r3.apk2024-10-25 22:29 7.3K 
[   ]msgpuck-doc-2.0-r1.apk2024-10-25 22:32 7.3K 
[   ]flightgear-zsh-completion-2024.1.1-r0.apk2025-03-05 01:19 7.3K 
[   ]jbigkit-doc-2.1-r2.apk2024-10-25 22:31 7.3K 
[   ]py3-sphinxcontrib-sqltable-2.0.0-r8.apk2024-10-25 22:34 7.3K 
[   ]bananui-clock-0.1.0-r0.apk2024-10-25 22:29 7.3K 
[   ]perl-test-www-mechanize-catalyst-0.62-r0.apk2025-04-20 06:32 7.4K 
[   ]perl-openapi-client-doc-1.07-r0.apk2024-10-25 22:34 7.4K 
[   ]perl-color-rgb-util-doc-0.609-r0.apk2025-03-19 14:13 7.4K 
[   ]lizardfs-cgiserv-3.13.0-r17.apk2025-06-19 11:30 7.4K 
[   ]mint-x-icons-doc-1.7.2-r0.apk2024-12-24 11:07 7.4K 
[   ]py3-proglog-0.1.10-r2.apk2024-10-25 22:34 7.4K 
[   ]py3-python-archive-0.2-r7.apk2024-10-25 22:34 7.4K 
[   ]py3-ntplib-0.4.0-r5.apk2024-10-25 22:34 7.4K 
[   ]perl-constant-defer-6-r5.apk2024-10-25 22:34 7.4K 
[   ]perl-session-storage-secure-doc-1.000-r2.apk2024-10-25 22:34 7.4K 
[   ]perl-catalyst-plugin-static-simple-doc-0.37-r0.apk2025-03-20 17:50 7.4K 
[   ]libfyaml-doc-0.9-r0.apk2024-10-25 22:32 7.4K 
[   ]git2json-0.2.3-r8.apk2024-10-25 22:31 7.4K 
[   ]cliquer-dev-1.22-r2.apk2024-10-25 22:30 7.4K 
[   ]py3-more-properties-1.1.1-r3.apk2024-10-25 22:34 7.4K 
[   ]pass2csv-pyc-1.1.1-r1.apk2024-10-25 22:34 7.5K 
[   ]py3-columnize-pyc-0.3.11-r4.apk2024-10-25 22:34 7.5K 
[   ]perl-class-accessor-grouped-doc-0.10014-r2.apk2024-10-25 22:34 7.5K 
[   ]cscope-doc-15.9-r1.apk2024-10-25 22:30 7.5K 
[   ]py3-pickle-secure-0.99.9-r1.apk2024-10-25 22:34 7.5K 
[   ]cargo-udeps-doc-0.1.56-r2.apk2025-06-08 18:40 7.5K 
[   ]py3-simplespectral-1.0.0-r5.apk2024-10-25 22:34 7.5K 
[   ]perl-lexical-persistence-1.023-r0.apk2025-04-26 10:13 7.5K 
[   ]perl-ryu-async-0.020-r0.apk2024-10-25 22:34 7.5K 
[   ]wakeonlan-doc-0.42-r0.apk2024-10-25 22:37 7.5K 
[   ]aqemu-doc-0.9.4-r3.apk2024-10-25 22:29 7.5K 
[   ]perl-uri-fetch-doc-0.15-r0.apk2024-10-25 22:34 7.5K 
[   ]perl-dbix-datasource-doc-0.02-r5.apk2024-10-25 22:34 7.5K 
[   ]gamemode-doc-1.8.2-r0.apk2025-02-06 00:28 7.5K 
[   ]perl-file-rename-2.02-r0.apk2024-10-25 22:34 7.5K 
[   ]py3-sphinxcontrib-blockdiag-3.0.0-r4.apk2024-10-25 22:34 7.5K 
[   ]py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0.apk2024-10-25 22:34 7.6K 
[   ]php81-sysvmsg-8.1.33-r0.apk2025-07-03 00:13 7.6K 
[   ]cliquer-1.22-r2.apk2024-10-25 22:30 7.6K 
[   ]py3-eradicate-2.3.0-r2.apk2024-10-25 22:34 7.6K 
[   ]py3-wg-netns-2.3.1-r1.apk2024-10-25 22:34 7.6K 
[   ]fungw-dev-1.2.1-r0.apk2024-12-30 10:48 7.6K 
[   ]perl-lexical-persistence-doc-1.023-r0.apk2025-04-26 10:13 7.6K 
[   ]py3-notifymail-1.1-r8.apk2024-10-25 22:34 7.6K 
[   ]dvdbackup-doc-0.4.2-r1.apk2024-10-25 22:30 7.6K 
[   ]perl-net-jabber-bot-doc-2.1.7-r0.apk2024-10-25 22:34 7.6K 
[   ]perl-x-tiny-doc-0.22-r0.apk2024-10-25 22:34 7.6K 
[   ]ffms2-dev-5.0-r0.apk2024-10-25 22:30 7.6K 
[   ]py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk2024-10-25 22:34 7.6K 
[   ]py3-jaraco.path-3.7.2-r0.apk2024-10-25 22:34 7.6K 
[   ]perl-datetime-timezone-alias-doc-0.06-r0.apk2024-10-25 22:34 7.6K 
[   ]py3-sphinxcontrib-actdiag-3.0.0-r4.apk2024-10-25 22:34 7.6K 
[   ]py3-sphinxcontrib-seqdiag-3.0.0-r5.apk2024-10-25 22:34 7.6K 
[   ]perl-email-abstract-3.010-r0.apk2024-10-25 22:34 7.6K 
[   ]py3-openapi-codec-1.3.2-r9.apk2024-10-25 22:34 7.6K 
[   ]opkg-doc-0.7.0-r0.apk2024-10-25 22:34 7.7K 
[   ]geomyidae-doc-0.34-r2.apk2024-10-25 22:31 7.7K 
[   ]p910nd-0.97-r2.apk2024-10-25 22:34 7.7K 
[   ]cargo-geiger-doc-0.12.0-r0.apk2025-05-26 13:06 7.7K 
[   ]mat2-doc-0.13.4-r3.apk2025-04-25 07:14 7.7K 
[   ]arc-xfwm-20221218-r0.apk2024-10-25 22:29 7.7K 
[   ]php81-pecl-lzf-1.7.0-r0.apk2024-10-25 22:34 7.7K 
[   ]arc-lighter-xfwm-20221218-r0.apk2024-10-25 22:29 7.7K 
[   ]queercat-1.0.0-r0.apk2024-10-25 22:34 7.7K 
[   ]py3-sstash-0.17-r9.apk2024-10-25 22:34 7.7K 
[   ]perl-moosex-role-parameterized-1.11-r0.apk2025-03-19 14:13 7.7K 
[   ]zot-doc-2.1.2-r5.apk2025-07-10 21:46 7.7K 
[   ]kmscon-doc-9.0.0-r0.apk2024-10-25 22:31 7.7K 
[   ]haxe-doc-4.3.3-r1.apk2025-03-22 15:34 7.7K 
[   ]perl-test-distribution-2.00-r1.apk2024-10-25 22:34 7.8K 
[   ]walk-sor-doc-0_git20190920-r1.apk2024-10-25 22:37 7.8K 
[   ]perl-carp-assert-more-doc-2.9.0-r0.apk2025-04-15 03:17 7.8K 
[   ]lomiri-libusermetrics-dev-1.3.3-r1.apk2025-02-14 16:28 7.8K 
[   ]py3-bottle-session-pyc-1.0-r6.apk2024-10-25 22:34 7.8K 
[   ]perl-html-treebuilder-xpath-0.14-r0.apk2025-07-10 21:45 7.8K 
[   ]nvim-cmp-buffer-0.0.0_git20220810-r1.apk2024-10-25 22:33 7.8K 
[   ]py3-quebra-frases-pyc-0.3.7-r1.apk2024-10-25 22:34 7.8K 
[   ]moosefs-cgiserv-4.56.6-r2.apk2025-06-19 11:30 7.8K 
[   ]perl-graphql-client-cli-0.605-r0.apk2024-10-25 22:34 7.8K 
[   ]perl-string-escape-doc-2010.002-r0.apk2025-03-28 17:13 7.8K 
[   ]perl-cgi-struct-1.21-r0.apk2025-03-19 14:13 7.8K 
[   ]perl-signature-attribute-checked-0.06-r1.apk2025-06-30 09:03 7.8K 
[   ]perl-shell-config-generate-0.34-r0.apk2025-06-08 18:40 7.8K 
[   ]perl-syntax-keyword-match-doc-0.15-r1.apk2025-06-30 09:03 7.8K 
[   ]php85-sysvmsg-8.5.0_alpha1-r0.apk2025-07-02 19:08 7.8K 
[   ]py3-click-threading-pyc-0.5.0-r5.apk2024-10-25 22:34 7.9K 
[   ]py3-sphinxcontrib-gravatar-0.1.2-r8.apk2024-10-25 22:34 7.9K 
[   ]py3-simplesoapy-1.5.1-r7.apk2024-10-25 22:34 7.9K 
[   ]arc-darker-xfwm-20221218-r0.apk2024-10-25 22:29 7.9K 
[   ]perl-dbix-class-candy-0.005004-r0.apk2024-10-30 06:59 7.9K 
[   ]arc-dark-xfwm-20221218-r0.apk2024-10-25 22:29 7.9K 
[   ]ocaml-result-dev-1.5-r2.apk2024-10-25 22:33 7.9K 
[   ]py3-flake8-builtins-pyc-2.5.0-r0.apk2024-12-07 22:51 7.9K 
[   ]harminv-1.4.2-r1.apk2024-10-25 22:31 7.9K 
[   ]libnfc-dev-1.8.0-r1.apk2024-10-25 22:32 7.9K 
[   ]py3-flask-themer-2.0.0-r2.apk2024-10-25 22:34 7.9K 
[   ]py3-pytest-metadata-pyc-3.1.1-r0.apk2024-10-25 22:34 7.9K 
[   ]jhead-doc-3.08-r0.apk2024-10-25 22:31 7.9K 
[   ]fulcrum-admin-1.9.8-r1.apk2024-10-25 22:30 7.9K 
[   ]perl-string-random-0.32-r2.apk2024-10-25 22:34 7.9K 
[   ]py3-flask-loopback-pyc-1.4.7-r7.apk2024-10-25 22:34 7.9K 
[   ]py3-sphinxcontrib-lassodomain-0.4-r8.apk2024-10-25 22:34 7.9K 
[   ]cargo-shuttle-zsh-completion-0.56.1-r0.apk2025-07-08 10:16 7.9K 
[   ]perl-bsd-resource-doc-1.2911-r11.apk2025-06-30 09:03 7.9K 
[   ]php81-pspell-8.1.33-r0.apk2025-07-03 00:13 7.9K 
[   ]py3-clickclick-20.10.2-r4.apk2024-10-25 22:34 7.9K 
[   ]timoni-bash-completion-0.23.0-r6.apk2025-07-10 21:45 8.0K 
[   ]perl-math-random-isaac-xs-1.004-r9.apk2025-06-30 09:03 8.0K 
[   ]ocaml-mirage-time-dev-3.0.0-r4.apk2024-10-25 22:33 8.0K 
[   ]libgrapheme-doc-1-r0.apk2024-10-25 22:32 8.0K 
[   ]py3-flask-httpauth-4.8.0-r2.apk2024-10-25 22:34 8.0K 
[   ]py3-zope-i18nmessageid-pyc-6.1.0-r2.apk2024-10-25 22:34 8.0K 
[   ]code-minimap-doc-0.6.7-r0.apk2024-12-12 20:46 8.0K 
[   ]perl-syntax-operator-equ-0.10-r1.apk2025-06-30 09:03 8.0K 
[   ]boinc-doc-7.24.3-r0.apk2024-10-25 22:29 8.0K 
[   ]perl-netaddr-mac-doc-0.98-r1.apk2024-10-25 22:34 8.0K 
[   ]sigrok-cli-doc-0.7.2-r0.apk2024-10-25 22:36 8.0K 
[   ]py3-simplematch-1.4-r1.apk2024-10-25 22:34 8.0K 
[   ]perl-throwable-doc-1.001-r1.apk2024-10-25 22:34 8.0K 
[   ]nfoview-doc-2.1-r0.apk2025-04-15 03:17 8.0K 
[   ]perl-tickit-widget-scrollbox-0.12-r0.apk2024-10-25 22:34 8.0K 
[   ]fungw-c-1.2.1-r0.apk2024-12-30 10:48 8.0K 
[   ]libb64-doc-2.0.0.1-r0.apk2024-10-25 22:32 8.0K 
[   ]vmtouch-doc-1.3.1-r0.apk2024-10-25 22:37 8.0K 
[   ]ocaml-omake-doc-0.10.6-r0.apk2024-10-25 22:33 8.0K 
[   ]exabgp-doc-4.2.24-r0.apk2025-03-19 14:12 8.0K 
[   ]perl-dbix-introspector-0.001005-r4.apk2024-10-25 22:34 8.1K 
[   ]py3-python-jwt-4.1.0-r2.apk2025-05-15 23:23 8.1K 
[   ]apache2-mod-authnz-external-3.3.3-r0.apk2024-10-25 22:29 8.1K 
[   ]perl-text-table-any-0.117-r0.apk2024-10-25 22:34 8.1K 
[   ]py3-jaraco.stream-pyc-3.0.4-r0.apk2024-12-14 23:50 8.1K 
[   ]py3-simplespectral-pyc-1.0.0-r5.apk2024-10-25 22:34 8.1K 
[   ]flowd-dev-0.9.1-r11.apk2025-06-30 09:03 8.1K 
[   ]libcorkipset-dev-1.1.1-r4.apk2024-10-25 22:32 8.1K 
[   ]py3-ly-doc-0.9.8-r1.apk2024-10-25 22:34 8.1K 
[   ]ttfautohint-doc-1.8.4-r0.apk2024-10-25 22:36 8.1K 
[   ]cdba-1.0-r2.apk2024-10-25 22:29 8.1K 
[   ]mediastreamer2-plugin-x264-20200722-r6.apk2024-10-25 22:32 8.1K 
[   ]perl-list-binarysearch-xs-doc-0.09-r2.apk2025-06-30 09:03 8.1K 
[   ]perl-adapter-async-0.019-r0.apk2024-10-25 22:34 8.1K 
[   ]libcotp-3.1.0-r0.apk2024-10-25 22:32 8.1K 
[   ]py3-pygelbooru-0.5.0-r4.apk2024-10-25 22:34 8.1K 
[   ]libmhash-doc-0.9.9.9-r3.apk2024-10-25 22:32 8.2K 
[   ]wol-lang-0.7.1-r3.apk2024-10-25 22:37 8.2K 
[   ]rtl-power-fftw-doc-20200601-r4.apk2024-10-25 22:35 8.2K 
[   ]tuned-profiles-2.25.1-r2.apk2025-05-28 12:42 8.2K 
[   ]libzn_poly-dev-0.9.2-r2.apk2024-10-25 22:32 8.2K 
[   ]stw-0.3-r0.apk2024-10-25 22:36 8.2K 
[   ]libjodycode-3.1.1-r0.apk2024-10-25 22:32 8.2K 
[   ]perl-moosex-nonmoose-0.27-r1.apk2025-06-19 12:55 8.2K 
[   ]py3-flask-paginate-0.8.1-r6.apk2024-10-25 22:34 8.2K 
[   ]py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk2024-10-25 22:34 8.2K 
[   ]py3-more-properties-pyc-1.1.1-r3.apk2024-10-25 22:34 8.2K 
[   ]ruby-dry-inflector-1.1.0-r1.apk2025-04-23 22:23 8.2K 
[   ]bootinfo-pyc-0.1.0-r4.apk2024-10-25 22:29 8.2K 
[   ]py3-openssh-wrapper-0.5_git20130425-r4.apk2024-10-25 22:34 8.2K 
[   ]wput-doc-0.6.2-r4.apk2024-10-25 22:37 8.2K 
[   ]hiprompt-gtk-py-0.8.0-r0.apk2024-10-25 22:31 8.3K 
[   ]perl-daemon-control-doc-0.001010-r2.apk2024-10-25 22:34 8.3K 
[   ]perl-data-checks-doc-0.10-r1.apk2025-06-30 09:03 8.3K 
[   ]cargo-update-doc-16.2.1-r0.apk2025-03-23 14:24 8.3K 
[   ]disfetch-3.7-r0.apk2024-10-25 22:30 8.3K 
[   ]meson-tools-doc-0.1-r2.apk2024-12-09 17:38 8.3K 
[   ]meson-tools-0.1-r2.apk2024-12-09 17:38 8.3K 
[   ]perl-data-visitor-doc-0.32-r0.apk2025-03-19 15:53 8.3K 
[   ]py3-ovos-translate-server-plugin-0.0.0-r0.apk2024-10-25 22:34 8.3K 
[   ]py3-junit-xml-1.9-r3.apk2024-10-25 22:34 8.3K 
[   ]py3-eradicate-pyc-2.3.0-r2.apk2024-10-25 22:34 8.3K 
[   ]perl-test-lwp-useragent-doc-0.036-r0.apk2024-10-25 22:34 8.3K 
[   ]perl-uri-db-doc-0.23-r0.apk2025-01-09 06:27 8.4K 
[   ]pass2csv-1.1.1-r1.apk2024-10-25 22:34 8.4K 
[   ]perl-future-asyncawait-hooks-0.02-r1.apk2025-06-30 09:03 8.4K 
[   ]agrep-0.8.0-r2.apk2024-10-25 22:29 8.4K 
[   ]perl-test-timer-doc-2.12-r2.apk2024-10-25 22:34 8.4K 
[   ]tmux-resurrect-doc-4.0.0-r0.apk2024-10-25 22:36 8.4K 
[   ]py3-dotty-dict-1.3.1-r4.apk2024-10-25 22:34 8.4K 
[   ]btpd-doc-0.16-r2.apk2024-10-25 22:29 8.4K 
[   ]limnoria-doc-20240828-r0.apk2024-10-25 22:32 8.4K 
[   ]py3-landlock-1.0.0_pre4-r2.apk2024-10-25 22:34 8.4K 
[   ]php81-enchant-8.1.33-r0.apk2025-07-03 00:13 8.4K 
[   ]py3-python-logstash-pyc-0.4.8-r4.apk2024-10-25 22:34 8.4K 
[   ]ruby-hashdiff-1.1.1-r1.apk2025-04-23 22:23 8.4K 
[   ]afetch-2.2.0-r1.apk2024-10-25 22:29 8.4K 
[   ]php81-pecl-maxminddb-1.12.1-r0.apk2025-05-07 13:53 8.4K 
[   ]perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk2024-10-25 22:34 8.5K 
[   ]flatseal-doc-2.3.1-r0.apk2025-06-19 17:30 8.5K 
[   ]perl-dbix-introspector-doc-0.001005-r4.apk2024-10-25 22:34 8.5K 
[   ]foma-dev-0.10.0_git20240712-r0.apk2024-10-25 22:30 8.5K 
[   ]sshuttle-doc-1.1.2-r0.apk2024-10-25 22:36 8.5K 
[   ]perl-object-pad-fieldattr-checked-0.12-r1.apk2025-06-30 09:03 8.5K 
[   ]py3-async-lru-pyc-2.0.5-r0.apk2025-03-19 14:13 8.5K 
[   ]perl-term-ui-doc-0.50-r1.apk2024-10-25 22:34 8.5K 
[   ]lgogdownloader-doc-3.16-r1.apk2025-03-25 16:17 8.5K 
[   ]perl-smart-comments-doc-1.06-r0.apk2025-07-07 19:02 8.5K 
[   ]s-dkim-sign-doc-0.6.2-r0.apk2024-10-25 22:35 8.5K 
[   ]py3-columnize-0.3.11-r4.apk2024-10-25 22:34 8.5K 
[   ]logc-0.5.0-r1.apk2025-06-13 16:01 8.5K 
[   ]py3-playsound-pyc-1.3.0-r1.apk2024-10-25 22:34 8.5K 
[   ]libirecovery-progs-1.2.1-r0.apk2024-10-30 23:45 8.6K 
[   ]perl-moosex-methodattributes-0.32-r0.apk2025-03-19 14:13 8.6K 
[   ]perl-net-netmask-doc-2.0003-r0.apk2025-05-18 06:10 8.6K 
[   ]pounce-doc-3.1-r3.apk2024-10-25 22:34 8.6K 
[   ]libretro-gong-0_git20220319-r0.apk2024-10-25 22:32 8.6K 
[   ]buf-bash-completion-1.54.0-r1.apk2025-07-10 21:44 8.6K 
[   ]prosody-mod-smacks-0.11_hg20201208-r0.apk2024-10-25 22:34 8.6K 
[   ]mm-1.4.2-r1.apk2024-10-25 22:32 8.6K 
[   ]logc-dev-0.5.0-r1.apk2025-06-13 16:01 8.6K 
[   ]py3-python-logstash-0.4.8-r4.apk2024-10-25 22:34 8.6K 
[   ]perl-catalyst-authentication-credential-http-1.018-r0.apk2025-04-04 07:38 8.6K 
[   ]py3-ovos-phal-plugin-connectivity-events-0.1.1-r0.apk2024-11-21 14:31 8.6K 
[   ]py3-vatnumber-pyc-1.2-r9.apk2024-10-25 22:34 8.6K 
[   ]py3-ntplib-pyc-0.4.0-r5.apk2024-10-25 22:34 8.6K 
[   ]sylpheed-imap-notify-1.1.0-r2.apk2024-10-25 22:36 8.6K 
[   ]libcyaml-doc-1.4.2-r0.apk2024-10-25 22:32 8.6K 
[   ]perl-openapi-client-1.07-r0.apk2024-10-25 22:34 8.7K 
[   ]createrepo_c-doc-1.1.4-r0.apk2024-10-25 22:30 8.7K 
[   ]perl-guard-1.023-r10.apk2025-06-30 09:03 8.7K 
[   ]cvs-fast-export-tools-1.65-r0.apk2024-10-25 22:30 8.7K 
[   ]py3-cucumber-tag-expressions-6.1.1-r0.apk2024-12-01 21:14 8.7K 
[   ]daktilo-doc-0.6.0-r0.apk2024-10-25 22:30 8.7K 
[   ]rustic-bash-completion-0.9.3-r0.apk2025-06-09 04:21 8.7K 
[   ]perl-sort-naturally-1.03-r4.apk2024-10-25 22:34 8.7K 
[   ]py3-dotty-dict-pyc-1.3.1-r4.apk2024-10-25 22:34 8.7K 
[   ]quodlibet-doc-4.6.0-r2.apk2025-05-12 23:26 8.7K 
[   ]subdl-0_git20230616-r1.apk2024-10-25 22:36 8.7K 
[   ]perl-catalyst-plugin-static-simple-0.37-r0.apk2025-03-20 17:50 8.7K 
[   ]py3-uptime-pyc-3.0.1-r9.apk2024-10-25 22:34 8.7K 
[   ]rsstail-2.2-r0.apk2025-02-13 08:17 8.7K 
[   ]py3-certauth-1.3.0-r1.apk2024-10-25 22:34 8.7K 
[   ]py3-class-doc-pyc-1.25-r1.apk2024-10-25 22:34 8.7K 
[   ]py3-sphinxcontrib-nwdiag-2.0.0-r7.apk2024-10-25 22:34 8.7K 
[   ]perl-constant-generate-0.17-r5.apk2024-10-25 22:34 8.8K 
[   ]perl-promise-xs-doc-0.20-r2.apk2025-06-30 09:03 8.8K 
[   ]h4h5tools-dev-2.2.5-r4.apk2024-10-25 22:31 8.8K 
[   ]py3-translationstring-pyc-1.4-r4.apk2024-10-25 22:34 8.8K 
[   ]icingaweb2-module-pnp-1.1.0-r1.apk2024-10-25 22:31 8.8K 
[   ]hurl-doc-6.1.1-r0.apk2025-05-03 19:29 8.8K 
[   ]vcsh-2.0.5-r0.apk2024-10-25 22:36 8.8K 
[   ]perl-crypt-random-seed-doc-0.03-r0.apk2024-10-25 22:34 8.8K 
[   ]ocaml-lambda-term-doc-3.2.0-r4.apk2024-10-25 22:33 8.8K 
[   ]ipp-usb-doc-0.9.30-r1.apk2025-07-10 21:45 8.8K 
[   ]py3-sphinx-theme-readable-1.3.0-r9.apk2024-10-25 22:34 8.8K 
[   ]py3-quebra-frases-0.3.7-r1.apk2024-10-25 22:34 8.8K 
[   ]perl-data-validate-ip-0.31-r1.apk2024-10-25 22:34 8.8K 
[   ]fatresize-1.1.0-r1.apk2024-10-25 22:30 8.8K 
[   ]lua5.2-luastatic-0.0.12-r1.apk2024-10-25 22:32 8.8K 
[   ]perl-session-storage-secure-1.000-r2.apk2024-10-25 22:34 8.8K 
[   ]ccze-doc-0.2.1-r1.apk2024-10-25 22:29 8.8K 
[   ]pam_sqlite3-1.0.2-r2.apk2024-10-25 22:34 8.8K 
[   ]py3-phpserialize-1.3-r8.apk2024-10-25 22:34 8.9K 
[   ]libmdbx-doc-0.11.8-r0.apk2024-10-25 22:32 8.9K 
[   ]certbot-dns-pdns-0.1.1-r0.apk2024-10-25 22:29 8.9K 
[   ]lua5.3-luastatic-0.0.12-r1.apk2024-10-25 22:32 8.9K 
[   ]tdrop-doc-0.5.0-r0.apk2024-10-25 22:36 8.9K 
[   ]libucl-doc-0.9.0-r0.apk2024-10-25 22:32 8.9K 
[   ]py3-print-color-0.4.6-r0.apk2024-10-25 22:34 8.9K 
[   ]perl-string-escape-2010.002-r0.apk2025-03-28 17:13 8.9K 
[   ]perl-net-curl-promiser-0.20-r0.apk2024-10-25 22:34 8.9K 
[   ]tty-clock-2.3_git20240104-r0.apk2024-10-25 22:36 8.9K 
[   ]perl-test-timer-2.12-r2.apk2024-10-25 22:34 8.9K 
[   ]perl-autobox-doc-3.0.2-r1.apk2025-06-30 09:03 8.9K 
[   ]t2sz-1.1.2-r0.apk2024-10-25 22:36 8.9K 
[   ]lua5.4-luastatic-0.0.12-r1.apk2024-10-25 22:32 8.9K 
[   ]sxcs-1.1.0-r0.apk2024-10-25 22:36 8.9K 
[   ]py3-pylru-pyc-1.2.1-r1.apk2024-10-25 22:34 8.9K 
[   ]py3-thefuzz-pyc-0.22.1-r1.apk2024-10-25 22:34 8.9K 
[   ]libwbxml-dev-0.11.8-r0.apk2024-10-25 22:32 9.0K 
[   ]py3-forbiddenfruit-0.1.4-r2.apk2024-10-25 22:34 9.0K 
[   ]py3-keepalive-0.5-r5.apk2024-10-25 22:34 9.0K 
[   ]perl-carp-assert-more-2.9.0-r0.apk2025-04-15 03:17 9.0K 
[   ]perl-rxperl-anyevent-doc-6.8.1-r0.apk2024-10-25 22:34 9.0K 
[   ]md5ha1-0_git20171202-r1.apk2024-10-25 22:32 9.0K 
[   ]cargo-shuttle-doc-0.56.1-r0.apk2025-07-08 10:16 9.0K 
[   ]ocaml-result-1.5-r2.apk2024-10-25 22:33 9.0K 
[   ]mod_dnssd-0.6-r0.apk2024-10-25 22:32 9.0K 
[   ]freediameter-1.5.0-r1.apk2024-10-25 22:30 9.0K 
[   ]fff-doc-2.2-r0.apk2024-10-25 22:30 9.0K 
[   ]jdupes-doc-1.28.0-r0.apk2024-10-25 22:31 9.0K 
[   ]imrsh-0_git20210320-r1.apk2024-10-25 22:31 9.0K 
[   ]py3-ovos-microphone-plugin-alsa-0.1.0-r0.apk2024-10-25 22:34 9.0K 
[   ]py3-sphinxcontrib-adadomain-0.2-r9.apk2024-10-25 22:34 9.0K 
[   ]perl-number-format-doc-1.76-r1.apk2024-10-25 22:34 9.0K 
[   ]perl-rxperl-ioasync-doc-6.9.1-r0.apk2024-10-25 22:34 9.0K 
[   ]pspp-doc-2.0.1-r0.apk2024-10-25 22:34 9.0K 
[   ]cargo-shuttle-fish-completion-0.56.1-r0.apk2025-07-08 10:16 9.0K 
[   ]bindfs-doc-1.17.7-r1.apk2025-06-19 11:30 9.1K 
[   ]perl-rxperl-mojo-doc-6.8.2-r0.apk2024-10-25 22:34 9.1K 
[   ]py3-uc-micro-py-1.0.2-r1.apk2024-10-25 22:34 9.1K 
[   ]gatling-doc-0.16-r6.apk2024-10-25 22:31 9.1K 
[   ]perl-catalyst-view-email-0.36-r0.apk2025-04-04 10:55 9.1K 
[   ]py3-dweepy-0.3.0-r7.apk2024-10-25 22:34 9.1K 
[   ]duc-doc-1.4.5-r0.apk2024-10-25 22:30 9.1K 
[   ]py3-certauth-pyc-1.3.0-r1.apk2024-10-25 22:34 9.1K 
[   ]perl-future-q-doc-0.120-r0.apk2024-10-25 22:34 9.1K 
[   ]py3-sphinxcontrib-fulltoc-1.2.0-r8.apk2024-10-25 22:34 9.1K 
[   ]perl-uri-find-doc-20160806-r0.apk2025-03-23 08:58 9.1K 
[   ]lomiri-trust-store-dev-2.0.2-r10.apk2025-06-28 19:26 9.1K 
[   ]click-dev-0.5.2-r4.apk2025-02-14 16:28 9.1K 
[   ]lua-inet-0.2.0-r1.apk2024-10-25 22:32 9.1K 
[   ]py3-translationstring-1.4-r4.apk2024-10-25 22:34 9.2K 
[   ]py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk2024-10-25 22:34 9.2K 
[   ]perl-gtk3-doc-0.038-r1.apk2024-10-25 22:34 9.2K 
[   ]py3-pymsgbox-1.0.9-r5.apk2024-10-25 22:34 9.2K 
[   ]py3-tls_parser-2.0.1-r1.apk2024-10-25 22:34 9.2K 
[   ]py3-telegram-text-0.2.0-r1.apk2024-10-25 22:34 9.2K 
[   ]icesprog-0_git20240108-r1.apk2024-10-25 22:31 9.2K 
[   ]perl-statistics-basic-1.6611-r0.apk2024-10-25 22:34 9.2K 
[   ]py3-netifaces2-pyc-0.0.22-r0.apk2024-10-25 22:34 9.2K 
[   ]nsnake-3.0.0-r0.apk2024-10-25 22:33 9.2K 
[   ]py3-google-trans-new-1.1.9-r2.apk2024-10-25 22:34 9.2K 
[   ]py3-aiohttp-jinja2-pyc-1.6-r2.apk2024-10-25 22:34 9.2K 
[   ]perl-future-http-0.17-r0.apk2024-10-25 22:34 9.2K 
[   ]catdoc-doc-0.95-r1.apk2024-10-25 22:29 9.2K 
[   ]visidata-zsh-completion-3.2-r0.apk2025-06-20 13:37 9.3K 
[   ]py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk2024-10-25 22:34 9.3K 
[   ]libiscsi-doc-1.19.0-r2.apk2024-10-25 22:32 9.3K 
[   ]perl-class-c3-doc-0.35-r1.apk2024-10-25 22:34 9.3K 
[   ]ssh-honeypot-0.1.1-r1.apk2024-10-25 22:36 9.3K 
[   ]certbot-dns-njalla-2.0.0-r0.apk2024-11-28 00:02 9.3K 
[   ]py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk2024-10-25 22:34 9.3K 
[   ]pamtester-0.1.2-r4.apk2024-10-25 22:34 9.3K 
[   ]py3-junit-xml-pyc-1.9-r3.apk2024-10-25 22:34 9.3K 
[   ]endlessh-1.1-r1.apk2025-05-25 09:50 9.3K 
[   ]perl-database-async-engine-postgresql-doc-1.005-r0.apk2024-10-25 22:34 9.3K 
[   ]kerberoast-0.2.0-r2.apk2025-05-29 13:59 9.3K 
[   ]merge-usr-0_git20250703-r0.apk2025-07-03 17:31 9.3K 
[   ]py3-protego-0.3.1-r0.apk2024-11-30 21:42 9.3K 
[   ]py3-python-archive-pyc-0.2-r7.apk2024-10-25 22:34 9.3K 
[   ]sflowtool-doc-6.02-r0.apk2024-10-25 22:36 9.4K 
[   ]supermin-doc-5.2.2-r2.apk2024-10-25 22:36 9.4K 
[   ]py3-nose-timer-1.0.1-r6.apk2024-10-25 22:34 9.4K 
[   ]openswitcher-proxy-0.5.0-r4.apk2024-10-25 22:34 9.4K 
[   ]brltty-doc-6.7-r1.apk2025-03-28 17:12 9.4K 
[   ]nbsdgames-doc-5-r0.apk2024-10-25 22:32 9.4K 
[   ]py3-pyisbn-pyc-1.3.1-r3.apk2024-10-25 22:34 9.4K 
[   ]x11docker-doc-7.6.0-r1.apk2024-10-25 22:37 9.4K 
[   ]bananui-demos-2.0.0-r0.apk2024-10-25 22:29 9.4K 
[   ]console_bridge-1.0.2-r0.apk2024-10-25 22:30 9.4K 
[   ]py3-natpmp-1.3.2-r1.apk2024-10-25 22:34 9.4K 
[   ]perl-moosex-nonmoose-doc-0.27-r1.apk2025-06-19 12:55 9.4K 
[   ]perl-css-inliner-doc-4027-r0.apk2025-06-16 13:51 9.4K 
[   ]apt-mirror-0.5.4-r0.apk2024-10-25 22:29 9.4K 
[   ]avarice-doc-2.14-r4.apk2024-10-25 22:29 9.4K 
[   ]py3-intervals-0.9.2-r5.apk2024-10-25 22:34 9.4K 
[   ]pinentry-bemenu-0.14.0-r1.apk2025-07-06 02:15 9.5K 
[   ]perl-color-rgb-util-0.609-r0.apk2025-03-19 14:13 9.5K 
[   ]perl-class-c3-0.35-r1.apk2024-10-25 22:34 9.5K 
[   ]php85-enchant-8.5.0_alpha1-r0.apk2025-07-02 19:08 9.5K 
[   ]perl-dbix-class-candy-doc-0.005004-r0.apk2024-10-30 06:59 9.5K 
[   ]wl-screenrec-doc-0.1.7-r0.apk2025-04-15 03:17 9.5K 
[   ]py3-lib_users-pyc-0.15-r4.apk2024-10-25 22:34 9.5K 
[   ]py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk2024-10-25 22:34 9.5K 
[   ]perl-b-utils-doc-0.27-r1.apk2025-06-30 09:03 9.5K 
[   ]py3-landlock-pyc-1.0.0_pre4-r2.apk2024-10-25 22:34 9.5K 
[   ]py3-jaraco.path-pyc-3.7.2-r0.apk2024-10-25 22:34 9.5K 
[   ]lomiri-indicator-network-dev-1.1.1-r0.apk2025-05-09 19:32 9.5K 
[   ]perl-net-async-redis-xs-1.001-r2.apk2025-06-30 09:03 9.5K 
[   ]lolcat-1.4-r0.apk2024-10-25 22:32 9.6K 
[   ]s-postgray-doc-0.8.3-r0.apk2024-10-25 22:35 9.6K 
[   ]perl-algorithm-backoff-0.010-r0.apk2024-10-25 22:34 9.6K 
[   ]php85-pecl-uploadprogress-doc-2.0.2-r0.apk2025-07-02 20:59 9.6K 
[   ]ocaml-ppx_derivers-1.2.1-r2.apk2024-10-25 22:33 9.6K 
[   ]atool-doc-0.39.0-r4.apk2024-10-25 22:29 9.6K 
[   ]perl-future-q-0.120-r0.apk2024-10-25 22:34 9.6K 
[   ]rygel-doc-0.44.2-r0.apk2025-03-19 14:13 9.6K 
[   ]amdgpu-fan-pyc-0.1.0-r5.apk2024-10-25 22:29 9.6K 
[   ]perl-musicbrainz-discid-0.06-r2.apk2025-06-30 09:03 9.6K 
[   ]irccd-dev-4.0.3-r0.apk2024-10-25 22:31 9.6K 
[   ]py3-pyrebase-3.0.27-r5.apk2024-10-25 22:34 9.6K 
[   ]perl-minion-backend-pg-10.31-r0.apk2024-10-25 22:34 9.6K 
[   ]py3-forbiddenfruit-pyc-0.1.4-r2.apk2024-10-25 22:34 9.7K 
[   ]py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk2024-11-21 14:31 9.7K 
[   ]py3-uptime-3.0.1-r9.apk2024-10-25 22:34 9.7K 
[   ]keystone-python-pyc-0.9.2-r6.apk2024-10-25 22:31 9.7K 
[   ]py3-mnemonic-pyc-0.21-r0.apk2024-10-25 22:34 9.7K 
[   ]py3-iso639-lang-pyc-2.2.3-r0.apk2024-10-25 22:34 9.7K 
[   ]perl-dancer-plugin-passphrase-2.0.1-r4.apk2024-10-25 22:34 9.7K 
[   ]pokoy-0.2.5-r0.apk2024-10-25 22:34 9.7K 
[   ]py3-itunespy-1.6-r5.apk2025-05-14 20:13 9.7K 
[   ]perl-net-amqp-rabbitmq-doc-2.40012-r1.apk2025-06-30 09:03 9.7K 
[   ]mktorrent-borg-0.9.9-r1.apk2024-10-25 22:32 9.8K 
[   ]py3-pep8-naming-0.14.1-r0.apk2024-10-25 22:34 9.8K 
[   ]emacs-hnreader-0_git20221116-r0.apk2024-10-25 22:30 9.8K 
[   ]perl-ref-util-xs-0.117-r9.apk2025-06-30 09:03 9.8K 
[   ]py3-clickclick-pyc-20.10.2-r4.apk2024-10-25 22:34 9.8K 
[   ]perl-data-visitor-0.32-r0.apk2025-03-19 15:53 9.8K 
[   ]perl-syntax-operator-in-0.10-r1.apk2025-06-30 09:03 9.8K 
[   ]perl-hash-ordered-0.014-r0.apk2024-10-25 22:34 9.8K 
[   ]libuecc-7-r4.apk2025-03-03 17:06 9.8K 
[   ]perl-io-handle-util-doc-0.02-r0.apk2025-06-11 18:35 9.8K 
[   ]perl-test-lwp-useragent-0.036-r0.apk2024-10-25 22:34 9.8K 
[   ]noice-0.8-r1.apk2024-10-25 22:33 9.8K 
[   ]perl-digest-crc-0.24-r2.apk2025-06-30 09:03 9.8K 
[   ]py3-synapse-auto-accept-invite-1.2.0-r0.apk2024-10-25 22:34 9.8K 
[   ]perl-freezethaw-0.5001-r2.apk2024-10-25 22:34 9.8K 
[   ]py3-pymsgbox-pyc-1.0.9-r5.apk2024-10-25 22:34 9.8K 
[   ]py3-natpmp-pyc-1.3.2-r1.apk2024-10-25 22:34 9.9K 
[   ]perl-test-toolbox-0.4-r5.apk2024-10-25 22:34 9.9K 
[   ]ansible-bender-doc-0.10.1-r2.apk2025-06-09 10:49 9.9K 
[   ]perl-test-modern-doc-0.013-r3.apk2024-10-25 22:34 9.9K 
[   ]linuxptp-phc_ctl-4.4-r0.apk2024-11-17 18:17 9.9K 
[   ]apt-dater-doc-1.0.4-r4.apk2024-10-25 22:29 9.9K 
[   ]ocaml-mirage-time-3.0.0-r4.apk2024-10-25 22:33 9.9K 
[   ]libvoikko-dev-4.3.2-r1.apk2024-10-25 22:32 9.9K 
[   ]py3-nose-timer-pyc-1.0.1-r6.apk2024-10-25 22:34 9.9K 
[   ]py3-typing_inspect-0.9.0-r2.apk2024-10-25 22:34 9.9K 
[   ]py3-proglog-pyc-0.1.10-r2.apk2024-10-25 22:34 9.9K 
[   ]perl-html-tableextract-doc-2.15-r4.apk2024-10-25 22:34 9.9K 
[   ]php81-pecl-uploadprogress-doc-2.0.2-r1.apk2024-10-25 22:34 9.9K 
[   ]emacs-llama-0.3.1_git20240722-r0.apk2024-10-25 22:30 9.9K 
[   ]ovos-messagebus-0.0.10-r0.apk2025-04-08 09:44 10K 
[   ]openslide-tools-3.4.1-r3.apk2024-10-25 22:34 10K 
[   ]perl-list-binarysearch-0.25-r0.apk2024-10-25 22:34 10K 
[   ]wpa_actiond-1.4-r7.apk2024-10-25 22:37 10K 
[   ]py3-ovos-audio-plugin-simple-0.0.1-r0.apk2024-10-25 22:34 10K 
[   ]extrace-0.9-r0.apk2024-10-25 22:30 10K 
[   ]apache2-mod-authnz-external-doc-3.3.3-r0.apk2024-10-25 22:29 10K 
[   ]mrsh-dev-0_git20210518-r1.apk2024-10-25 22:32 10K 
[   ]handlebars-utils-1.0.0-r1.apk2024-10-25 22:31 10K 
[   ]perl-term-ui-0.50-r1.apk2024-10-25 22:34 10K 
[   ]cargo-show-asm-doc-0.2.49-r0.apk2025-04-15 03:16 10K 
[   ]libfishsound-1.0.0-r1.apk2024-10-25 22:32 10K 
[   ]perl-starman-doc-0.4017-r0.apk2024-10-25 22:34 10K 
[   ]libsds-2.0.0-r1.apk2024-10-25 22:32 10K 
[   ]py3-thefuzz-0.22.1-r1.apk2024-10-25 22:34 10K 
[   ]py3-ovos-phal-plugin-system-pyc-1.3.2-r0.apk2025-04-08 09:44 10K 
[   ]py3-aiohttp-remotes-1.3.0-r0.apk2024-11-04 13:28 10K 
[   ]php81-bz2-8.1.33-r0.apk2025-07-03 00:13 10K 
[   ]fatrace-0.17.0-r0.apk2024-10-25 22:30 10K 
[   ]py3-colorthief-pyc-0.2.1-r1.apk2024-10-25 22:34 10K 
[   ]py3-minidb-2.0.8-r0.apk2024-11-13 19:50 10K 
[   ]php81-pecl-pcov-1.0.12-r0.apk2024-12-04 18:17 10K 
[   ]perl-test-www-mechanize-doc-1.60-r0.apk2025-04-15 03:17 10K 
[   ]py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk2024-10-25 22:34 10K 
[   ]flowd-doc-0.9.1-r11.apk2025-06-30 09:03 10K 
[   ]py3-pygtail-pyc-0.14.0-r3.apk2024-10-25 22:34 10K 
[   ]perl-html-query-doc-0.09-r0.apk2025-06-16 13:51 10K 
[   ]perl-minion-backend-sqlite-5.0.7-r0.apk2024-10-25 22:34 10K 
[   ]dsp-doc-2.0-r0.apk2025-05-12 06:54 10K 
[   ]thunarx-python-0.5.2-r2.apk2024-10-25 22:36 10K 
[   ]knative-client-bash-completion-1.18.0-r1.apk2025-07-10 21:45 10K 
[   ]sway-audio-idle-inhibit-0.1.2-r0.apk2024-10-25 22:36 10K 
[   ]nvim-gruvbox-0.0.0_git20221212-r1.apk2024-10-25 22:33 10K 
[   ]netsed-1.3-r3.apk2024-10-25 22:32 10K 
[   ]py3-jaraco.vcs-2.4.1-r0.apk2025-03-09 10:02 10K 
[   ]cgo-0.6.1-r1.apk2024-10-25 22:29 10K 
[   ]perl-system-command-doc-1.122-r0.apk2024-10-25 22:34 10K 
[   ]py3-bottle-session-1.0-r6.apk2024-10-25 22:34 10K 
[   ]py3-pytest-metadata-3.1.1-r0.apk2024-10-25 22:34 10K 
[   ]nullmailer-doc-2.2-r4.apk2024-10-25 22:33 10K 
[   ]linuxkit-doc-1.6.0-r1.apk2025-07-10 21:45 10K 
[   ]py3-sphinxcontrib-mermaid-1.0.0-r0.apk2025-05-08 13:05 10K 
[   ]ifuse-1.1.4-r5.apk2024-10-30 23:45 10K 
[   ]py3-glob2-0.7-r6.apk2024-10-25 22:34 10K 
[   ]perl-data-clone-0.006-r1.apk2025-06-30 09:03 10K 
[   ]shntool-doc-3.0.10-r5.apk2025-02-24 22:00 10K 
[   ]py3-sstash-pyc-0.17-r9.apk2024-10-25 22:34 10K 
[   ]perl-full-doc-1.004-r0.apk2024-10-25 22:34 10K 
[   ]alttab-doc-1.7.1-r0.apk2024-10-25 22:29 10K 
[   ]ovos-phal-0.2.7-r0.apk2024-11-21 14:31 10K 
[   ]perl-anyevent-riperedis-doc-0.48-r0.apk2024-10-25 22:34 10K 
[   ]perl-catalyst-plugin-configloader-doc-0.35-r0.apk2025-03-20 17:50 10K 
[   ]py3-iniparse-doc-0.5-r7.apk2024-10-25 22:34 10K 
[   ]pick-4.0.0-r0.apk2024-10-25 22:34 10K 
[   ]perl-pod-tidy-0.10-r1.apk2024-10-25 22:34 10K 
[   ]lomiri-schemas-0.1.8-r1.apk2025-06-28 19:26 10K 
[   ]neko-dev-2.3.0-r0.apk2024-11-20 23:25 10K 
[   ]snapper-dev-0.12.2-r0.apk2025-05-06 14:27 10K 
[   ]emacs-embark-consult-1.1-r0.apk2025-04-23 07:43 10K 
[   ]docker-auth-doc-1.13.0-r5.apk2025-07-10 21:44 10K 
[   ]py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk2024-12-01 21:14 10K 
[   ]py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk2024-10-25 22:34 10K 
[   ]psi-notify-1.3.1-r0.apk2024-10-25 22:34 10K 
[   ]perl-text-worddiff-0.09-r0.apk2025-06-09 18:26 10K 
[   ]py3-aiohttp-session-2.12.1-r0.apk2024-10-25 22:34 10K 
[   ]opkg-0.7.0-r0.apk2024-10-25 22:34 10K 
[   ]dufs-doc-0.43.0-r0.apk2025-01-23 19:56 10K 
[   ]perl-clipboard-0.32-r0.apk2025-05-18 17:45 10K 
[   ]nvim-cmp-doc-0.0.0_git20221011-r1.apk2024-10-25 22:33 10K 
[   ]arj-doc-0_git20220125-r1.apk2024-10-25 22:29 10K 
[   ]py3-bencode-pyc-4.0.0-r1.apk2024-10-25 22:34 10K 
[   ]php81-pecl-csv-0.4.3-r0.apk2025-02-25 16:45 10K 
[   ]php84-pecl-csv-0.4.3-r0.apk2025-02-25 16:45 10K 
[   ]hsetroot-1.0.5-r1.apk2024-10-25 22:31 11K 
[   ]perl-log-fu-0.31-r4.apk2024-10-25 22:34 11K 
[   ]perl-minion-backend-redis-0.003-r0.apk2024-10-25 22:34 11K 
[   ]raku-io-path-childsecure-1.2-r0.apk2025-06-07 09:42 11K 
[   ]php85-bz2-8.5.0_alpha1-r0.apk2025-07-02 19:08 11K 
[   ]py3-sphinx-theme-better-0.1.5-r7.apk2024-10-25 22:34 11K 
[   ]py3-sphinxcontrib-inheritance-0.9.0-r9.apk2024-10-25 22:34 11K 
[   ]perl-math-int64-doc-0.57-r2.apk2025-06-30 09:03 11K 
[   ]lua5.2-libmodbus-0.6.1-r0.apk2024-10-25 22:32 11K 
[   ]perl-pod-tidy-doc-0.10-r1.apk2024-10-25 22:34 11K 
[   ]py3-sphinxcontrib-htsql-0.1.5-r8.apk2024-10-25 22:34 11K 
[   ]tuned-utils-2.25.1-r2.apk2025-05-28 12:42 11K 
[   ]icingaweb2-module-fileshipper-1.2.0-r3.apk2025-07-02 08:39 11K 
[   ]lua5.1-libmodbus-0.6.1-r0.apk2024-10-25 22:32 11K 
[   ]py3-google-trans-new-pyc-1.1.9-r2.apk2024-10-25 22:34 11K 
[   ]ydcv-pyc-0.7-r8.apk2024-10-25 22:37 11K 
[   ]cpp-httplib-doc-0.22.0-r0.apk2025-07-07 18:05 11K 
[   ]py3-flask-httpauth-pyc-4.8.0-r2.apk2024-10-25 22:34 11K 
[   ]py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk2024-10-25 22:34 11K 
[   ]perl-log-message-0.08-r3.apk2024-10-25 22:34 11K 
[   ]tree-sitter-git-diff-0_git20230730-r0.apk2024-10-25 22:36 11K 
[   ]ocaml-extlib-doc-1.7.9-r2.apk2024-10-25 22:33 11K 
[   ]toapk-1.0-r0.apk2024-10-25 22:36 11K 
[   ]perl-io-handle-util-0.02-r0.apk2025-06-11 18:35 11K 
[   ]py3-py-radix-pyc-0.10.0-r10.apk2024-10-25 22:34 11K 
[   ]py3-businesstime-0.3.0-r9.apk2024-10-25 22:34 11K 
[   ]qstardict-doc-2.0.2-r1.apk2024-11-24 04:04 11K 
[   ]py3-sortedcollections-2.1.0-r5.apk2024-10-25 22:34 11K 
[   ]py3-pydes-2.0.1-r5.apk2024-10-25 22:34 11K 
[   ]perl-catalystx-simplelogin-0.21-r0.apk2025-05-07 09:38 11K 
[   ]py3-phpserialize-pyc-1.3-r8.apk2024-10-25 22:34 11K 
[   ]py3-flask-flatpages-0.8.3-r0.apk2024-12-06 23:58 11K 
[   ]py3-riotctrl-pyc-0.5.0-r4.apk2024-10-25 22:34 11K 
[   ]perl-promise-es6-0.28-r0.apk2024-10-25 22:34 11K 
[   ]fff-2.2-r0.apk2024-10-25 22:30 11K 
[   ]tick-1.2.2-r0.apk2025-01-14 02:26 11K 
[   ]perl-catalyst-view-email-doc-0.36-r0.apk2025-04-04 10:55 11K 
[   ]xvkbd-doc-4.1-r2.apk2024-10-25 22:37 11K 
[   ]uclient-fetch-20241022-r0.apk2025-05-30 00:00 11K 
[   ]py3-click-completion-0.5.2-r1.apk2024-10-25 22:34 11K 
[   ]turn-rs-doc-3.4.0-r1.apk2025-06-12 15:21 11K 
[   ]perl-netaddr-mac-0.98-r1.apk2024-10-25 22:34 11K 
[   ]screen-message-0.29-r0.apk2025-04-15 03:17 11K 
[   ]ruby-appraisal-2.5.0-r0.apk2025-05-26 01:52 11K 
[   ]py3-flask-bootstrap-pyc-3.3.7.1-r9.apk2025-05-12 23:26 11K 
[   ]perl-uri-db-0.23-r0.apk2025-01-09 06:27 11K 
[   ]perl-math-libm-1.00-r15.apk2025-06-30 09:03 11K 
[   ]py3-u-msgpack-2.8.0-r2.apk2024-10-25 22:34 11K 
[   ]py3-ovos-phal-plugin-system-1.3.2-r0.apk2025-04-08 09:44 11K 
[   ]perl-math-random-doc-0.72-r1.apk2025-06-30 09:03 11K 
[   ]py3-requests-kerberos-pyc-0.15.0-r0.apk2024-12-01 18:24 11K 
[   ]mkdocs-ivory-0.4.6-r5.apk2024-10-25 22:32 11K 
[   ]py3-rabbit-1.1.0-r8.apk2024-10-25 22:34 11K 
[   ]thumbdrives-0.3.2-r2.apk2024-10-25 22:36 11K 
[   ]py3-dataclasses-serialization-1.3.1-r3.apk2024-10-25 22:34 11K 
[   ]py3-blockchain-1.4.4-r7.apk2024-10-25 22:34 11K 
[   ]libtsm-dev-4.1.0-r0.apk2025-07-08 07:56 11K 
[   ]ocaml-ppx_derivers-dev-1.2.1-r2.apk2024-10-25 22:33 11K 
[   ]perl-getopt-long-descriptive-doc-0.116-r0.apk2024-12-31 10:40 11K 
[   ]screenkey-doc-1.5-r6.apk2024-10-25 22:35 11K 
[   ]perl-xml-xpathengine-doc-0.14-r0.apk2025-07-08 18:54 11K 
[   ]wch-isp-0.4.1-r2.apk2024-10-25 22:37 11K 
[   ]evolution-on-3.24.4-r0.apk2024-10-30 15:41 11K 
[   ]php81-posix-8.1.33-r0.apk2025-07-03 00:13 11K 
[   ]neard-dev-0.19-r0.apk2024-10-25 22:32 11K 
[   ]py3-base58-2.1.1-r2.apk2024-10-25 22:34 11K 
[   ]py3-sphinxcontrib-issuetracker-0.11-r7.apk2024-10-25 22:34 11K 
[   ]lomiri-history-service-dev-0.6-r8.apk2025-07-03 17:31 11K 
[   ]php83-pecl-jsmin-3.0.0-r0.apk2024-10-25 22:34 11K 
[   ]php81-pecl-jsmin-3.0.0-r0.apk2024-10-25 22:34 11K 
[   ]php82-pecl-jsmin-3.0.0-r0.apk2024-10-25 22:34 11K 
[   ]perl-glib-object-introspection-doc-0.051-r2.apk2025-06-30 09:03 11K 
[   ]py3-downloader-cli-0.3.4-r2.apk2025-05-14 20:13 11K 
[   ]py3-pam-2.0.2-r2.apk2024-10-25 22:34 11K 
[   ]tanidvr-dhav2mkv-1.4.1-r2.apk2025-03-21 12:52 11K 
[   ]py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk2024-10-25 22:34 11K 
[   ]py3-flask-paginate-pyc-0.8.1-r6.apk2024-10-25 22:34 11K 
[   ]lomiri-content-hub-dev-2.1.0-r0.apk2025-04-15 03:17 11K 
[   ]py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r0.apk2024-11-21 14:31 11K 
[   ]perl-crypt-random-seed-0.03-r0.apk2024-10-25 22:34 11K 
[   ]py3-itemadapter-0.10.0-r0.apk2024-11-30 21:42 11K 
[   ]mint-y-icons-doc-1.8.3-r0.apk2025-02-05 23:24 11K 
[   ]octoprint-filecheck-pyc-2024.3.27-r1.apk2024-10-25 22:33 11K 
[   ]apache2-mod-maxminddb-1.2.0-r0.apk2025-05-19 12:23 11K 
[   ]py3-sphinxcontrib-restbuilder-0.3-r6.apk2024-10-25 22:34 11K 
[   ]roll-doc-2.6.1-r0.apk2025-05-27 01:03 11K 
[   ]lrcalc-dev-2.1-r1.apk2024-10-25 22:32 11K 
[   ]lrcalc-2.1-r1.apk2024-10-25 22:32 11K 
[   ]belcard-5.3.105-r0.apk2025-02-25 13:48 11K 
[   ]perl-catalyst-devel-doc-1.42-r0.apk2025-03-20 17:50 11K 
[   ]dasht-doc-2.4.0-r0.apk2024-10-25 22:30 11K 
[   ]perl-test-file-1.995-r0.apk2025-04-19 19:53 11K 
[   ]libecap-dev-1.0.1-r1.apk2024-10-25 22:32 11K 
[   ]perl-devel-confess-0.009004-r0.apk2024-10-25 22:34 11K 
[   ]lizardfs-doc-3.13.0-r17.apk2025-06-19 11:30 11K 
[   ]py3-padacioso-0.2.1-r0.apk2024-10-25 22:34 11K 
[   ]belcard-dev-5.3.105-r0.apk2025-02-25 13:48 11K 
[   ]emacs-taxy-0.10.2-r0.apk2025-04-23 07:43 11K 
[   ]py3-halo-0.0.31-r5.apk2024-10-25 22:34 11K 
[   ]perl-xml-bare-doc-0.53-r14.apk2025-06-30 09:03 11K 
[   ]py3-pygelbooru-pyc-0.5.0-r4.apk2024-10-25 22:34 11K 
[   ]py3-sphinxcontrib-phpdomain-0.12.0-r0.apk2024-10-25 22:34 11K 
[   ]lumina-desktop-doc-1.6.2-r0.apk2024-10-25 22:32 12K 
[   ]restart-services-0.17.0-r0.apk2024-10-25 22:34 12K 
[   ]py3-libpyshell-0.4.1-r1.apk2025-06-10 11:39 12K 
[   ]gnome-common-3.18.0-r3.apk2024-10-25 22:31 12K 
[   ]perl-list-binarysearch-doc-0.25-r0.apk2024-10-25 22:34 12K 
[   ]ddgr-doc-2.2-r0.apk2024-10-25 22:30 12K 
[   ]py3-protego-pyc-0.3.1-r0.apk2024-11-30 21:42 12K 
[   ]java-jtharness-doc-6.0_p12-r0.apk2024-10-25 22:31 12K 
[   ]vmtouch-1.3.1-r0.apk2024-10-25 22:37 12K 
[   ]autoremove-torrents-doc-1.5.5-r0.apk2024-10-25 22:29 12K 
[   ]py3-highctidh-pyc-1.0.2024092800-r0.apk2024-11-25 20:52 12K 
[   ]py3-ioctl-opt-1.3-r0.apk2025-01-27 22:52 12K 
[   ]minidyndns-1.3.0-r3.apk2024-10-25 22:32 12K 
[   ]py3-x-wr-timezone-2.0.1-r0.apk2025-02-09 16:13 12K 
[   ]perl-net-curl-promiser-doc-0.20-r0.apk2024-10-25 22:34 12K 
[   ]py3-bson-0.5.10-r6.apk2024-10-25 22:34 12K 
[   ]py3-wgconfig-pyc-1.1.0-r0.apk2025-01-29 07:49 12K 
[   ]libgrapheme-1-r0.apk2024-10-25 22:32 12K 
[   ]py3-virtualenvwrapper-pyc-6.1.0-r1.apk2024-10-25 22:34 12K 
[   ]php81-tokenizer-8.1.33-r0.apk2025-07-03 00:13 12K 
[   ]py3-openapi-codec-pyc-1.3.2-r9.apk2024-10-25 22:34 12K 
[   ]py3-recommonmark-0.7.1-r4.apk2024-10-25 22:34 12K 
[   ]py3-rofi-pyc-1.0.1-r0.apk2025-06-10 21:07 12K 
[   ]py3-crc16-0.1.1-r10.apk2024-10-25 22:34 12K 
[   ]toss-1.1-r1.apk2025-05-29 13:59 12K 
[   ]py3-simplesoapy-pyc-1.5.1-r7.apk2024-10-25 22:34 12K 
[   ]yamkix-pyc-0.10.0-r1.apk2024-10-25 22:37 12K 
[   ]libgrapheme-dev-1-r0.apk2024-10-25 22:32 12K 
[   ]libraqm-0.10.2-r0.apk2024-10-25 22:32 12K 
[   ]nuzzle-1.6-r0.apk2025-01-17 15:15 12K 
[   ]perl-datetime-timezone-catalog-extend-0.3.3-r0.apk2024-10-25 22:34 12K 
[   ]perl-ryu-async-doc-0.020-r0.apk2024-10-25 22:34 12K 
[   ]tdrop-0.5.0-r0.apk2024-10-25 22:36 12K 
[   ]xlhtml-0.5.1-r0.apk2024-10-25 22:37 12K 
[   ]perl-system-command-1.122-r0.apk2024-10-25 22:34 12K 
[   ]libmygpo-qt-dev-1.1.0-r2.apk2024-10-25 22:32 12K 
[   ]php85-tokenizer-8.5.0_alpha1-r0.apk2025-07-02 19:08 12K 
[   ]py3-orderedmultidict-1.0.1-r7.apk2024-10-25 22:34 12K 
[   ]ocaml-calendar-doc-2.04-r4.apk2024-10-25 22:33 12K 
[   ]py3-simber-0.2.6-r5.apk2025-05-14 20:13 12K 
[   ]perl-test-roo-1.004-r3.apk2024-10-25 22:34 12K 
[   ]py3-ovos-phal-plugin-oauth-0.1.3-r0.apk2024-11-21 14:31 12K 
[   ]ovpncc-0.1_rc1-r0.apk2024-10-25 22:34 12K 
[   ]py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk2024-10-25 22:34 12K 
[   ]py3-git-versioner-7.1-r1.apk2024-10-25 22:34 12K 
[   ]py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk2024-10-25 22:34 12K 
[   ]libcorkipset-tools-1.1.1-r4.apk2024-10-25 22:32 12K 
[   ]nlopt-dev-2.10.0-r1.apk2025-06-04 13:19 12K 
[   ]perl-promise-me-doc-0.5.0-r0.apk2024-10-25 22:34 12K 
[   ]perl-class-accessor-grouped-0.10014-r2.apk2024-10-25 22:34 12K 
[   ]ocaml-bigarray-compat-dev-1.1.0-r2.apk2024-10-25 22:33 12K 
[   ]pqiv-doc-2.12-r1.apk2024-10-25 22:34 12K 
[   ]py3-sphinxcontrib-plantuml-0.30-r0.apk2025-05-08 13:05 12K 
[   ]sdl3_image-dev-3.2.4-r0.apk2025-03-24 21:18 12K 
[   ]perl-cli-osprey-doc-0.08-r0.apk2024-12-28 05:54 12K 
[   ]perl-promise-es6-doc-0.28-r0.apk2024-10-25 22:34 12K 
[   ]perl-file-rename-doc-2.02-r0.apk2024-10-25 22:34 12K 
[   ]py3-requests-kerberos-0.15.0-r0.apk2024-12-01 18:24 12K 
[   ]php81-pdo_dblib-8.1.33-r0.apk2025-07-03 00:13 12K 
[   ]perl-file-changenotify-0.31-r0.apk2025-03-20 17:50 12K 
[   ]perl-catalyst-model-adaptor-doc-0.10-r0.apk2025-04-15 03:17 12K 
[   ]tldr-python-client-3.3.0-r0.apk2024-12-01 18:09 12K 
[   ]ptylie-0.2-r2.apk2025-05-12 23:26 12K 
[   ]jdebp-redo-doc-1.4-r1.apk2024-10-25 22:31 12K 
[   ]perl-datetime-format-flexible-doc-0.37-r0.apk2024-12-31 14:26 12K 
[   ]vim-airline-doc-0.11-r0.apk2024-10-25 22:36 12K 
[   ]php85-posix-8.5.0_alpha1-r0.apk2025-07-02 19:08 12K 
[   ]perl-log-message-doc-0.08-r3.apk2024-10-25 22:34 12K 
[   ]perl-bytes-random-secure-doc-0.29-r0.apk2024-10-25 22:34 12K 
[   ]py3-rofi-1.0.1-r0.apk2025-06-10 21:07 12K 
[   ]py3-rst2ansi-0.1.5-r0.apk2024-10-25 22:34 12K 
[   ]enjoy-0.3-r1.apk2024-10-25 22:30 12K 
[   ]ocaml-mirage-net-4.0.0-r3.apk2024-10-25 22:33 12K 
[   ]py3-sphinxcontrib-doxylink-1.12.3-r0.apk2024-12-06 23:58 12K 
[   ]py3-padacioso-pyc-0.2.1-r0.apk2024-10-25 22:34 12K 
[   ]perl-smart-comments-1.06-r0.apk2025-07-07 19:02 12K 
[   ]rgxg-doc-0.1.2-r2.apk2024-10-25 22:34 12K 
[   ]legume-doc-1.4.2-r10.apk2025-07-10 21:45 12K 
[   ]setroot-2.0.2-r1.apk2024-10-25 22:36 12K 
[   ]turntable-lang-0.3.3-r0.apk2025-05-27 15:01 12K 
[   ]squeak-vm-doc-4.10.2.2614-r1.apk2024-10-25 22:36 12K 
[   ]py3-pymsteams-0.2.5-r0.apk2025-02-14 16:28 12K 
[   ]py3-janus-1.2.0-r0.apk2024-12-13 05:57 12K 
[   ]perl-lingua-stem-2.31-r0.apk2025-06-08 18:40 12K 
[   ]perl-daemon-control-0.001010-r2.apk2024-10-25 22:34 12K 
[   ]git-quick-stats-2.5.8-r0.apk2024-10-25 22:31 12K 
[   ]perl-anyevent-riperedis-0.48-r0.apk2024-10-25 22:34 12K 
[   ]py3-aiohttp-jinja2-1.6-r2.apk2024-10-25 22:34 12K 
[   ]perl-xml-feed-doc-0.65-r0.apk2024-10-25 22:34 12K 
[   ]ocaml-bigarray-compat-1.1.0-r2.apk2024-10-25 22:33 12K 
[   ]afew-doc-3.0.1-r0.apk2025-05-19 00:22 12K 
[   ]ddserver-0_git20200930-r1.apk2024-10-25 22:30 12K 
[   ]perl-crypt-blowfish-2.14-r1.apk2025-06-30 09:03 12K 
[   ]sqlar-0_git20180107-r1.apk2024-10-25 22:36 12K 
[   ]py3-rfc-bibtex-pyc-0.3.2-r7.apk2024-10-25 22:34 12K 
[   ]espeakup-0.90-r2.apk2025-05-19 00:31 12K 
[   ]perl-nice-try-doc-1.3.16-r0.apk2025-05-01 07:50 12K 
[   ]mm-dev-1.4.2-r1.apk2024-10-25 22:32 12K 
[   ]py3-cobs-pyc-1.2.0-r4.apk2024-10-25 22:34 12K 
[   ]ssss-0.5.7-r0.apk2024-10-25 22:36 12K 
[   ]mediastreamer2-plugin-openh264-5.2.0_git20231020-r1.apk2025-03-06 23:31 12K 
[   ]py3-venusian-pyc-3.1.1-r0.apk2024-12-07 22:14 12K 
[   ]m2r2-0.3.3-r3.apk2024-10-25 22:32 13K 
[   ]py3-itemloaders-1.3.2-r0.apk2024-10-25 22:34 13K 
[   ]xosview-doc-1.24-r0.apk2024-10-25 22:37 13K 
[   ]planarity-doc-4.0.0.0-r0.apk2025-03-19 14:13 13K 
[   ]py3-libiio-0.25-r2.apk2024-10-25 22:34 13K 
[   ]nvimpager-0.12.0-r0.apk2024-10-25 22:33 13K 
[   ]geonames-doc-0.3.1-r2.apk2024-10-25 22:31 13K 
[   ]catcodec-1.0.5-r2.apk2024-10-25 22:29 13K 
[   ]clatd-1.6-r0.apk2024-10-25 22:30 13K 
[   ]rtptools-doc-1.22-r2.apk2024-10-25 22:35 13K 
[   ]ip2location-dev-8.6.1-r0.apk2024-10-25 22:31 13K 
[   ]py3-itemadapter-pyc-0.10.0-r0.apk2024-11-30 21:42 13K 
[   ]dislocker-0.7.3-r5.apk2024-10-25 22:30 13K 
[   ]kubesplit-0.3.3-r1.apk2024-10-25 22:31 13K 
[   ]perl-barcode-zbar-doc-0.10-r4.apk2025-06-30 09:03 13K 
[   ]perl-list-binarysearch-xs-0.09-r2.apk2025-06-30 09:03 13K 
[   ]py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk2024-10-25 22:34 13K 
[   ]php81-pdo_mysql-8.1.33-r0.apk2025-07-03 00:13 13K 
[   ]perl-catalyst-view-tt-doc-0.46-r0.apk2025-04-15 03:17 13K 
[   ]saait-doc-0.8-r0.apk2024-10-25 22:35 13K 
[   ]py3-wtf-peewee-3.0.6-r0.apk2024-10-25 22:34 13K 
[   ]gr-satellites-dev-5.5.0-r5.apk2025-06-08 18:40 13K 
[   ]py3-pygfm-2.0.0-r2.apk2024-10-25 22:34 13K 
[   ]py3-flake8-builtins-2.5.0-r0.apk2024-12-07 22:51 13K 
[   ]dooit-extras-0.2.0-r0.apk2024-12-07 21:29 13K 
[   ]php85-pdo_dblib-8.5.0_alpha1-r0.apk2025-07-02 19:08 13K 
[   ]perl-cli-osprey-0.08-r0.apk2024-12-28 05:54 13K 
[   ]perl-gtk2-ex-listmodelconcat-11-r4.apk2024-10-25 22:34 13K 
[   ]py3-flask-cache-0.13.1-r9.apk2024-10-25 22:34 13K 
[   ]py3-queuelib-1.7.0-r0.apk2024-10-25 22:34 13K 
[   ]py3-bitstruct-pyc-8.19.0-r1.apk2024-10-25 22:34 13K 
[   ]glmark2-doc-2023.01-r1.apk2024-10-25 22:31 13K 
[   ]octoprint-pisupport-pyc-2023.10.10-r1.apk2024-10-25 22:33 13K 
[   ]mint-themes-doc-2.1.1-r0.apk2024-10-25 22:32 13K 
[   ]py3-incoming-0.3.1-r8.apk2024-10-25 22:34 13K 
[   ]imapfilter-doc-2.8.2-r0.apk2024-10-25 22:31 13K 
[   ]utop-emacs-2.9.1-r4.apk2024-10-25 22:36 13K 
[   ]libcyaml-dev-1.4.2-r0.apk2024-10-25 22:32 13K 
[   ]termbox-1.1.2-r1.apk2024-10-25 22:36 13K 
[   ]luksmeta-9-r0.apk2024-10-25 22:32 13K 
[   ]py3-rfc-bibtex-0.3.2-r7.apk2024-10-25 22:34 13K 
[   ]perl-algorithm-permute-0.17-r1.apk2025-06-30 09:03 13K 
[   ]php81-xmlwriter-8.1.33-r0.apk2025-07-03 00:13 13K 
[   ]py3-deluge-client-1.10.2-r0.apk2024-10-25 22:34 13K 
[   ]crun-vm-doc-0.3.0-r0.apk2024-11-12 12:44 13K 
[   ]fungw-doc-1.2.1-r0.apk2024-12-30 10:48 13K 
[   ]perl-email-abstract-doc-3.010-r0.apk2024-10-25 22:34 13K 
[   ]devil-dev-1.8.0-r0.apk2024-10-25 22:30 13K 
[   ]py3-glob2-pyc-0.7-r6.apk2024-10-25 22:34 13K 
[   ]py3-telegram-text-pyc-0.2.0-r1.apk2024-10-25 22:34 13K 
[   ]kubesplit-pyc-0.3.3-r1.apk2024-10-25 22:31 13K 
[   ]py3-pam-pyc-2.0.2-r2.apk2024-10-25 22:34 13K 
[   ]speedtest-examples-5.2.5-r1.apk2024-10-25 22:36 13K 
[   ]tcmu-runner-rbd-1.6.0-r6.apk2024-10-25 22:36 13K 
[   ]barrier-doc-2.4.0-r2.apk2025-02-14 16:28 13K 
[   ]makeself-2.5.0-r0.apk2024-10-25 22:32 13K 
[   ]py3-hatch-openzim-pyc-0.2.0-r0.apk2024-10-25 22:34 13K 
[   ]raku-oo-monitors-1.1.5-r0.apk2025-06-07 09:42 13K 
[   ]py3-wifi-0.3.8-r7.apk2024-10-25 22:34 13K 
[   ]b2sum-20190729-r2.apk2024-10-25 22:29 13K 
[   ]tpp-bypass-0.8.4-r0.apk2024-10-25 22:36 13K 
[   ]py3-riotctrl-0.5.0-r4.apk2024-10-25 22:34 13K 
[   ]libhwpwm-doc-0.4.4-r0.apk2024-10-25 22:32 13K 
[   ]py3-keepalive-pyc-0.5-r5.apk2024-10-25 22:34 13K 
[   ]sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk2024-10-25 22:36 13K 
[   ]py3-discid-pyc-1.2.0-r6.apk2024-10-25 22:34 13K 
[   ]rustic-zsh-completion-0.9.3-r0.apk2025-06-09 04:21 13K 
[   ]py3-flask-migrate-4.0.7-r0.apk2024-10-25 22:34 13K 
[   ]py3-pdal-pyc-3.4.5-r1.apk2025-06-21 23:14 13K 
[   ]perl-text-german-0.06-r0.apk2025-06-07 04:00 13K 
[   ]libglib-testing-0.1.1-r0.apk2025-05-08 13:05 13K 
[   ]apt-dater-lang-1.0.4-r4.apk2024-10-25 22:29 13K 
[   ]py3-phx-class-registry-5.0.0-r0.apk2024-10-25 22:34 13K 
[   ]faultstat-0.01.11-r0.apk2024-10-25 22:30 13K 
[   ]py3-pydes-pyc-2.0.1-r5.apk2024-10-25 22:34 13K 
[   ]mp3val-0.1.8-r1.apk2024-10-25 22:32 13K 
[   ]py3-pep8-naming-pyc-0.14.1-r0.apk2024-10-25 22:34 13K 
[   ]py3-pygfm-pyc-2.0.0-r2.apk2024-10-25 22:34 13K 
[   ]php81-pecl-xhprof-2.3.10-r0.apk2024-10-25 22:34 13K 
[   ]php81-xsl-8.1.33-r0.apk2025-07-03 00:13 13K 
[   ]py3-unidns-0.0.1-r3.apk2025-05-29 13:59 13K 
[   ]termbox-static-1.1.2-r1.apk2024-10-25 22:36 13K 
[   ]catfish-doc-4.20.1-r0.apk2025-07-07 21:19 13K 
[   ]perl-catalyst-plugin-i18n-doc-0.10-r0.apk2025-04-04 10:55 13K 
[   ]biometryd-dev-0.3.1-r7.apk2025-02-14 16:28 13K 
[   ]lsdvd-0.17-r0.apk2024-10-25 22:32 13K 
[   ]php81-pcntl-8.1.33-r0.apk2025-07-03 00:13 13K 
[   ]startup-tools-2.0.3-r5.apk2024-10-25 22:36 13K 
[   ]perl-catalyst-authentication-store-dbix-class-0.1506-r0.apk2025-06-11 18:36 13K 
[   ]py3-janus-pyc-1.2.0-r0.apk2024-12-13 05:57 13K 
[   ]py3-asif-0.3.2-r3.apk2024-10-25 22:34 13K 
[   ]libiio-dev-0.25-r2.apk2024-10-25 22:32 13K 
[   ]libecap-1.0.1-r1.apk2024-10-25 22:32 13K 
[   ]php85-pecl-xhprof-2.3.10-r0.apk2025-07-02 20:59 13K 
[   ]mpdcron-doc-0.3-r1.apk2024-10-25 22:32 13K 
[   ]py3-wg-netns-pyc-2.3.1-r1.apk2024-10-25 22:34 13K 
[   ]nerdlog-doc-1.10.0-r1.apk2025-07-10 21:45 13K 
[   ]identities-0.1.4-r0.apk2025-05-05 23:16 13K 
[   ]roll-2.6.1-r0.apk2025-05-27 01:03 13K 
[   ]wabt-doc-1.0.37-r0.apk2025-04-06 23:38 13K 
[   ]perl-starman-0.4017-r0.apk2024-10-25 22:34 13K 
[   ]perl-ev-hiredis-0.07-r3.apk2025-06-30 09:03 13K 
[   ]php81-pecl-opentelemetry-1.1.3-r0.apk2025-05-15 22:57 13K 
[   ]touchpad-emulator-0.3-r0.apk2025-05-26 11:33 13K 
[   ]py3-ecbdata-0.1.1-r0.apk2025-04-15 03:17 13K 
[   ]perl-net-jabber-bot-2.1.7-r0.apk2024-10-25 22:34 13K 
[   ]py3-git-versioner-pyc-7.1-r1.apk2024-10-25 22:34 14K 
[   ]perl-net-netmask-2.0003-r0.apk2025-05-18 06:10 14K 
[   ]libnfcdef-1.0.1-r0.apk2025-04-15 03:17 14K 
[   ]apk-autoupdate-0_git20210421-r1.apk2024-11-17 13:51 14K 
[   ]bash-pinyin-completion-rs-doc-0.2.3-r0.apk2025-04-30 19:49 14K 
[   ]hikari-doc-2.3.3-r6.apk2024-10-25 22:31 14K 
[   ]afetch-doc-2.2.0-r1.apk2024-10-25 22:29 14K 
[   ]bettercap-doc-2.41.0-r3.apk2025-07-10 21:44 14K 
[   ]xed-dev-3.8.2-r0.apk2025-02-05 23:24 14K 
[   ]php81-pdo_sqlite-8.1.33-r0.apk2025-07-03 00:13 14K 
[   ]py3-linux-procfs-0.7.3-r0.apk2025-01-13 22:19 14K 
[   ]php81-pdo_odbc-8.1.33-r0.apk2025-07-03 00:13 14K 
[   ]perl-catalyst-view-tt-0.46-r0.apk2025-04-15 03:17 14K 
[   ]perl-uri-find-20160806-r0.apk2025-03-23 08:58 14K 
[   ]perl-moosex-getopt-0.78-r0.apk2025-03-19 14:13 14K 
[   ]fungw-tcl-1.2.1-r0.apk2024-12-30 10:48 14K 
[   ]py3-bite-parser-0.2.5-r0.apk2024-10-28 22:51 14K 
[   ]py3-flask-flatpages-pyc-0.8.3-r0.apk2024-12-06 23:58 14K 
[   ]perl-cpan-changes-0.500004-r0.apk2024-10-25 22:34 14K 
[   ]peg-doc-0.1.18-r1.apk2024-10-25 22:34 14K 
[   ]perl-text-worddiff-doc-0.09-r0.apk2025-06-09 18:26 14K 
[   ]wmctrl-1.07-r1.apk2024-10-25 22:37 14K 
[   ]php81-xmlreader-8.1.33-r0.apk2025-07-03 00:13 14K 
[   ]steghide-doc-0.5.1.1-r0.apk2024-10-25 22:36 14K 
[   ]xfd-1.1.4-r0.apk2024-10-25 22:37 14K 
[   ]ocaml-libvirt-doc-0.6.1.7-r0.apk2024-10-25 22:33 14K 
[   ]py3-wifi-pyc-0.3.8-r7.apk2024-10-25 22:34 14K 
[   ]aoetools-doc-37-r2.apk2025-01-14 14:34 14K 
[   ]aide-doc-0.18.8-r0.apk2024-10-25 22:29 14K 
[   ]qt-wayland-shell-helpers-0.1.1-r3.apk2024-10-25 22:34 14K 
[   ]perl-html-query-0.09-r0.apk2025-06-16 13:51 14K 
[   ]libmdf-dev-1.0.29-r0.apk2024-10-25 22:32 14K 
[   ]simp1e-cursors-doc-0_git20250312-r0.apk2025-03-19 14:13 14K 
[   ]perl-xml-feed-0.65-r0.apk2024-10-25 22:34 14K 
[   ]tuptime-5.2.4-r1.apk2024-11-28 23:44 14K 
[   ]tmux-resurrect-4.0.0-r0.apk2024-10-25 22:36 14K 
[   ]usbtop-1.0-r0.apk2025-04-15 03:17 14K 
[   ]py3-sortedcollections-pyc-2.1.0-r5.apk2024-10-25 22:34 14K 
[   ]perl-catalyst-authentication-store-dbix-class-doc-0.1506-r0.apk2025-06-11 18:36 14K 
[   ]perl-syntax-keyword-match-0.15-r1.apk2025-06-30 09:03 14K 
[   ]py3-pprintpp-0.4.0-r1.apk2024-10-25 22:34 14K 
[   ]py3-halo-pyc-0.0.31-r5.apk2024-10-25 22:34 14K 
[   ]emacs-total-recall-examples-0_git20250426-r0.apk2025-04-30 10:17 14K 
[   ]perl-database-async-engine-postgresql-1.005-r0.apk2024-10-25 22:34 14K 
[   ]ocaml-hkdf-1.0.4-r2.apk2024-10-25 22:33 14K 
[   ]perl-graphql-client-doc-0.605-r0.apk2024-10-25 22:34 14K 
[   ]perl-file-changenotify-doc-0.31-r0.apk2025-03-20 17:50 14K 
[   ]amdgpu-fan-0.1.0-r5.apk2024-10-25 22:29 14K 
[   ]slurm-0.4.4-r0.apk2024-10-25 22:36 14K 
[   ]mkg3a-0.5.0-r1.apk2024-10-25 22:32 14K 
[   ]perl-cairo-doc-1.109-r5.apk2025-06-30 09:03 14K 
[   ]autotrash-pyc-0.4.7-r0.apk2024-10-25 22:29 14K 
[   ]qdjango-dev-0.6.2-r1.apk2024-10-25 22:34 14K 
[   ]gammastep-doc-2.0.9-r3.apk2024-10-25 22:31 14K 
[   ]libinfnoise-0.3.3-r0.apk2025-05-26 10:58 14K 
[   ]ledmon-doc-1.0.0-r0.apk2024-10-25 22:31 14K 
[   ]cddlib-dev-0.94m-r2.apk2024-10-25 22:29 14K 
[   ]perl-snmp-doc-5.0404-r14.apk2025-06-30 09:03 14K 
[   ]rgxg-0.1.2-r2.apk2024-10-25 22:34 14K 
[   ]py3-pyscreeze-0.1.29-r3.apk2024-10-25 22:34 14K 
[   ]subdl-pyc-0_git20230616-r1.apk2024-10-25 22:36 14K 
[   ]rtmidi-dev-6.0.0-r0.apk2024-10-25 22:35 14K 
[   ]py3-downloader-cli-pyc-0.3.4-r2.apk2025-05-14 20:13 14K 
[   ]ocaml-randomconv-0.1.3-r2.apk2024-10-25 22:33 14K 
[   ]py3-euclid3-0.01-r8.apk2024-10-25 22:34 14K 
[   ]tldr-python-client-pyc-3.3.0-r0.apk2024-12-01 18:09 14K 
[   ]py3-firmata-1.0.3-r10.apk2024-10-25 22:34 14K 
[   ]py3-sphinx-argparse-0.5.2-r0.apk2024-10-25 22:34 14K 
[   ]py3-pyscreeze-pyc-0.1.29-r3.apk2024-10-25 22:34 14K 
[   ]pam-pkcs11-doc-0.6.13-r0.apk2025-06-10 21:03 14K 
[   ]mspdebug-doc-0.25-r1.apk2024-10-25 22:32 14K 
[   ]ruby-minitest-autotest-1.1.1-r0.apk2025-07-06 20:54 14K 
[   ]watchdog-doc-5.16-r2.apk2024-10-25 22:37 14K 
[   ]perl-path-dispatcher-1.08-r0.apk2025-06-09 14:05 14K 
[   ]py3-typing_inspect-pyc-0.9.0-r2.apk2024-10-25 22:34 14K 
[   ]yamkix-0.10.0-r1.apk2024-10-25 22:37 14K 
[   ]dasht-2.4.0-r0.apk2024-10-25 22:30 14K 
[   ]py3-click-completion-pyc-0.5.2-r1.apk2024-10-25 22:34 14K 
[   ]quickjs-ng-dev-0.10.1-r0.apk2025-05-16 19:13 14K 
[   ]tree-sitter-git-commit-0_git20211225-r3.apk2025-02-26 18:29 14K 
[   ]libcorkipset-1.1.1-r4.apk2024-10-25 22:32 14K 
[   ]py3-dataclasses-serialization-pyc-1.3.1-r3.apk2024-10-25 22:34 14K 
[   ]ocaml-seq-0.3.1-r2.apk2024-10-25 22:33 14K 
[   ]mm-doc-1.4.2-r1.apk2024-10-25 22:32 14K 
[   ]libzvbi-dev-0.2.44-r0.apk2025-03-19 14:13 14K 
[   ]py3-us-3.2.0-r0.apk2024-10-25 22:34 14K 
[   ]perl-bytes-random-secure-0.29-r0.apk2024-10-25 22:34 14K 
[   ]ruby-benchmark-ips-2.14.0-r0.apk2025-05-26 01:54 14K 
[   ]py3-venusian-3.1.1-r0.apk2024-12-07 22:14 14K 
[   ]mdnsd-doc-0.12-r1.apk2024-10-25 22:32 14K 
[   ]emacs-closql-1.2.1_git20240712-r0.apk2024-10-25 22:30 14K 
[   ]aprilsh-doc-0.7.12-r6.apk2025-07-10 21:44 14K 
[   ]mangr0ve-doc-0.1.2-r0.apk2024-10-25 22:32 14K 
[   ]php85-xmlwriter-8.5.0_alpha1-r0.apk2025-07-02 19:08 14K 
[   ]perl-catalyst-plugin-session-0.43-r0.apk2025-04-04 13:57 14K 
[   ]php81-pecl-memprof-3.1.0-r0.apk2025-02-24 18:23 14K 
[   ]php84-pecl-memprof-3.1.0-r0.apk2025-02-24 18:23 15K 
[   ]py3-createrepo_c-pyc-1.1.4-r0.apk2024-10-25 22:34 15K 
[   ]belr-dev-5.3.105-r0.apk2025-02-25 13:52 15K 
[   ]py3-spidev-3.6-r1.apk2024-10-25 22:34 15K 
[   ]throttled-0.10.0-r1.apk2024-12-15 20:23 15K 
[   ]dnote-doc-0.15.1-r6.apk2025-07-10 21:44 15K 
[   ]wshowkeys-1.0-r0.apk2024-10-25 22:37 15K 
[   ]py3-itunespy-pyc-1.6-r5.apk2025-05-14 20:13 15K 
[   ]perl-test-files-doc-0.26-r0.apk2024-10-25 22:34 15K 
[   ]emacs-fossil-0_git20230504-r0.apk2024-10-25 22:30 15K 
[   ]perl-test-modern-0.013-r3.apk2024-10-25 22:34 15K 
[   ]perl-getopt-long-descriptive-0.116-r0.apk2024-12-31 10:40 15K 
[   ]py3-django-compress-staticfiles-1.0.1_beta0-r6.apk2024-10-25 22:34 15K 
[   ]py3-markdownify-1.1.0-r0.apk2025-04-18 01:03 15K 
[   ]php81-calendar-8.1.33-r0.apk2025-07-03 00:13 15K 
[   ]par-1.53.0-r1.apk2024-10-25 22:34 15K 
[   ]libresprite-doc-1.2-r0.apk2025-04-15 03:17 15K 
[   ]perl-dbix-connector-0.60-r0.apk2024-12-30 07:37 15K 
[   ]ideviceinstaller-1.1.1-r4.apk2024-10-30 23:45 15K 
[   ]py3-urlobject-2.4.3-r9.apk2024-10-25 22:34 15K 
[   ]git-secret-0.5.0-r0.apk2024-10-25 22:31 15K 
[   ]php81-pecl-zstd-0.14.0-r0.apk2024-11-06 15:44 15K 
[   ]godini-doc-1.0.0-r1.apk2025-07-10 21:44 15K 
[   ]php85-xsl-8.5.0_alpha1-r0.apk2025-07-02 19:08 15K 
[   ]py3-pockethernet-0.7.0-r4.apk2024-10-25 22:34 15K 
[   ]py3-aiohttp-session-pyc-2.12.1-r0.apk2024-10-25 22:34 15K 
[   ]sssd-dev-2.11.0-r0.apk2025-06-06 10:56 15K 
[   ]perl-test-www-mechanize-1.60-r0.apk2025-04-15 03:17 15K 
[   ]perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk2024-10-25 22:34 15K 
[   ]commoncpp-doc-7.0.1-r1.apk2024-10-25 22:30 15K 
[   ]geomyidae-0.34-r2.apk2024-10-25 22:31 15K 
[   ]perl-html-gumbo-0.18-r2.apk2025-06-30 09:03 15K 
[   ]perl-number-tolerant-1.710-r0.apk2024-10-25 22:34 15K 
[   ]py3-pybars3-0.9.7-r6.apk2024-10-25 22:34 15K 
[   ]mpdris2-doc-0.9.1-r3.apk2024-10-25 22:32 15K 
[   ]sopwith-doc-2.5.0-r0.apk2024-10-25 22:36 15K 
[   ]virter-doc-0.29.0-r1.apk2025-07-10 21:45 15K 
[   ]hx-1.0.15-r0.apk2024-10-25 22:31 15K 
[   ]harminv-libs-1.4.2-r1.apk2024-10-25 22:31 15K 
[   ]py3-django-compress-staticfiles-pyc-1.0.1_beta0-r6.apk2024-10-25 22:34 15K 
[   ]mcqd-1.0.0-r1.apk2024-10-25 22:32 15K 
[   ]fzy-1.0-r4.apk2025-05-27 13:08 15K 
[   ]py3-pygtail-0.14.0-r3.apk2024-10-25 22:34 15K 
[   ]bluez-tools-doc-0_git20201025-r0.apk2025-06-09 10:49 15K 
[   ]perl-datetime-timezone-catalog-extend-doc-0.3.3-r0.apk2024-10-25 22:34 15K 
[   ]py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk2024-10-25 22:34 15K 
[   ]rinetd-0.73-r0.apk2024-10-25 22:34 15K 
[   ]py3-editdistance-s-1.0.0-r6.apk2024-10-25 22:34 15K 
[   ]logtop-0.7-r0.apk2024-10-25 22:32 15K 
[   ]perl-glib-ex-objectbits-17-r0.apk2024-10-25 22:34 15K 
[   ]php85-calendar-8.5.0_alpha1-r0.apk2025-07-02 19:08 15K 
[   ]fungw-1.2.1-r0.apk2024-12-30 10:48 15K 
[   ]kerberoast-pyc-0.2.0-r2.apk2025-05-29 13:59 15K 
[   ]libbraiding-dev-1.3.1-r0.apk2024-11-17 05:06 15K 
[   ]py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk2024-10-25 22:34 15K 
[   ]py3-snapshottest-0.6.0-r5.apk2024-10-25 22:34 15K 
[   ]py3-intervals-pyc-0.9.2-r5.apk2024-10-25 22:34 15K 
[   ]php85-pdo_mysql-8.5.0_alpha1-r0.apk2025-07-02 19:08 15K 
[   ]mpdris2-0.9.1-r3.apk2024-10-25 22:32 15K 
[   ]py3-us-pyc-3.2.0-r0.apk2024-10-25 22:34 15K 
[   ]readosm-1.1.0-r3.apk2025-05-12 23:26 15K 
[   ]fatresize-doc-1.1.0-r1.apk2024-10-25 22:30 15K 
[   ]kirc-0.3.3-r0.apk2025-02-22 12:09 15K 
[   ]perl-number-format-1.76-r1.apk2024-10-25 22:34 15K 
[   ]libvisio2svg-0.5.5-r3.apk2024-10-25 22:32 15K 
[   ]blip-0.10-r0.apk2024-10-25 22:29 15K 
[   ]chasquid-doc-1.15.0-r5.apk2025-07-10 21:44 15K 
[   ]perl-dancer-plugin-auth-extensible-1.00-r5.apk2024-10-25 22:34 15K 
[   ]tree-sitter-just-0_git20230318-r0.apk2024-10-25 22:36 15K 
[   ]xcompmgr-1.1.10-r0.apk2025-06-28 13:24 15K 
[   ]py3-sphinxcontrib-spelling-8.0.0-r3.apk2024-10-25 22:34 15K 
[   ]nkk-0_git20221010-r0.apk2024-10-25 22:33 15K 
[   ]perl-dns-unbound-doc-0.29-r2.apk2025-06-30 09:03 15K 
[   ]py3-flake8-import-order-0.18.2-r4.apk2024-10-25 22:34 15K 
[   ]ampy-1.1.0-r6.apk2025-03-19 14:11 15K 
[   ]py3-teletype-1.3.4-r3.apk2024-10-25 22:34 15K 
[   ]codec2-dev-1.2.0-r0.apk2025-05-26 00:12 15K 
[   ]perl-test-roo-doc-1.004-r3.apk2024-10-25 22:34 15K 
[   ]wayback-0_git20250710-r0.apk2025-07-11 10:46 15K 
[   ]py3-googletrans-3.0.0-r5.apk2024-10-25 22:34 15K 
[   ]py3-rabbit-pyc-1.1.0-r8.apk2024-10-25 22:34 15K 
[   ]gssdp-dev-1.6.4-r0.apk2025-06-29 01:49 16K 
[   ]php81-pecl-brotli-0.18.0-r0.apk2025-06-17 21:45 16K 
[   ]emacs-hackernews-0.7.0-r0.apk2024-10-25 22:30 16K 
[   ]infnoise-0.3.3-r0.apk2025-05-26 10:58 16K 
[   ]perl-list-keywords-0.11-r1.apk2025-06-30 09:03 16K 
[   ]php85-pecl-brotli-0.18.0-r0.apk2025-07-02 20:59 16K 
[   ]m2r2-pyc-0.3.3-r3.apk2024-10-25 22:32 16K 
[   ]php85-pdo_odbc-8.5.0_alpha1-r0.apk2025-07-02 19:08 16K 
[   ]py3-simpleeval-1.0.3-r0.apk2025-06-24 21:38 16K 
[   ]py3-lib_users-0.15-r4.apk2024-10-25 22:34 16K 
[   ]hexdiff-0.0.53-r3.apk2025-05-25 09:50 16K 
[   ]perl-future-http-doc-0.17-r0.apk2024-10-25 22:34 16K 
[   ]epr-2.4.15-r1.apk2024-10-25 22:30 16K 
[   ]blackbox-1.20220610-r1.apk2024-10-25 22:29 16K 
[   ]py3-zope-i18nmessageid-6.1.0-r2.apk2024-10-25 22:34 16K 
[   ]perl-archive-extract-0.88-r1.apk2024-10-25 22:34 16K 
[   ]zfsbootmenu-doc-2.3.0-r1.apk2024-10-25 22:37 16K 
[   ]bwrap-oci-0.2-r1.apk2024-10-25 22:29 16K 
[   ]ocaml-fileutils-doc-0.6.4-r2.apk2024-10-25 22:33 16K 
[   ]zile-doc-2.6.2-r1.apk2024-10-25 22:37 16K 
[   ]py3-pytest-regtest-2.3.2-r1.apk2025-02-14 16:28 16K 
[   ]perl-css-inliner-4027-r0.apk2025-06-16 13:51 16K 
[   ]fungw-lua-1.2.1-r0.apk2024-12-30 10:48 16K 
[   ]ol-dev-2.6-r0.apk2025-05-01 08:21 16K 
[   ]baresip-dev-3.20.0-r1.apk2025-06-01 17:50 16K 
[   ]perl-xml-atom-doc-0.43-r0.apk2024-10-25 22:34 16K 
[   ]ocaml-trie-1.0.0-r2.apk2024-10-25 22:33 16K 
[   ]php81-pecl-mcrypt-1.0.7-r0.apk2024-10-25 22:34 16K 
[   ]py3-rpio-pyc-0.10.1-r8.apk2024-10-25 22:34 16K 
[   ]py3-jaraco.vcs-pyc-2.4.1-r0.apk2025-03-09 10:02 16K 
[   ]py3-testresources-pyc-2.0.1-r6.apk2024-10-25 22:34 16K 
[   ]perl-mojo-sqlite-3.009-r0.apk2024-10-25 22:34 16K 
[   ]fuseiso-20070708-r0.apk2025-04-15 03:16 16K 
[   ]coccinelle-doc-1.1.1-r2.apk2024-10-25 22:30 16K 
[   ]libsimpleble-c-0.10.3-r0.apk2025-07-11 04:17 16K 
[   ]py3-sphinxcontrib-mermaid-pyc-1.0.0-r0.apk2025-05-08 13:05 16K 
[   ]zsh-fzf-tab-0_git20220331-r1.apk2024-10-25 22:37 16K 
[   ]fatback-doc-1.3-r2.apk2024-10-25 22:30 16K 
[   ]predict-doc-2.3.1-r0.apk2024-11-23 19:56 16K 
[   ]perl-indirect-0.39-r2.apk2025-06-30 09:03 16K 
[   ]paperkey-1.6-r2.apk2024-10-25 22:34 16K 
[   ]ubuntu-archive-keyring-2023.11.28.1-r0.apk2024-10-25 22:36 16K 
[   ]sacc-1.07-r0.apk2024-10-25 22:35 16K 
[   ]py3-simber-pyc-0.2.6-r5.apk2025-05-14 20:13 16K 
[   ]dropwatch-1.5.5-r0.apk2025-06-25 15:38 16K 
[   ]pegasus-frontend-doc-16_alpha-r0.apk2024-10-25 22:34 16K 
[   ]py3-u-msgpack-pyc-2.8.0-r2.apk2024-10-25 22:34 16K 
[   ]wf-config-dev-0.9.0-r0.apk2025-02-27 21:28 16K 
[   ]py3-pprintpp-pyc-0.4.0-r1.apk2024-10-25 22:34 16K 
[   ]logtop-libs-0.7-r0.apk2024-10-25 22:32 16K 
[   ]aptdec-libs-1.8.0-r1.apk2025-02-09 00:40 16K 
[   ]py3-barcodenumber-0.2.1-r10.apk2024-10-25 22:34 16K 
[   ]llmnrd-0.7-r1.apk2024-10-25 22:32 16K 
[   ]py3-businesstime-pyc-0.3.0-r9.apk2024-10-25 22:34 16K 
[   ]perl-git-repository-1.325-r0.apk2024-10-25 22:34 16K 
[   ]php85-xmlreader-8.5.0_alpha1-r0.apk2025-07-02 19:08 16K 
[   ]py3-sphinxcontrib-doxylink-pyc-1.12.3-r0.apk2024-12-06 23:58 16K 
[   ]zarchive-0.1.2-r2.apk2024-10-25 22:37 16K 
[   ]rinetd-doc-0.73-r0.apk2024-10-25 22:34 16K 
[   ]py3-aiosasl-doc-0.5.0-r4.apk2024-10-25 22:34 16K 
[   ]dvdbackup-0.4.2-r1.apk2024-10-25 22:30 16K 
[   ]sandbar-0.1-r1.apk2025-05-14 20:13 16K 
[   ]py3-flask-mailman-1.1.1-r0.apk2024-10-25 22:34 16K 
[   ]py3-simpleeval-pyc-1.0.3-r0.apk2025-06-24 21:38 16K 
[   ]py3-sphinxcontrib-programoutput-0.17-r5.apk2024-10-25 22:34 16K 
[   ]py3-ppk2-api-0.9.2-r0.apk2024-11-30 21:52 16K 
[   ]py3-dash-bootstrap-components-1.6.0-r0.apk2025-04-15 03:17 16K 
[   ]py3-imageio-ffmpeg-0.4.9-r1.apk2024-10-25 22:34 16K 
[   ]wlclock-1.0.1-r0.apk2024-10-25 22:37 16K 
[   ]gkrellm-dev-2.3.11-r0.apk2025-01-08 23:36 17K 
[   ]py3-ppk2-api-pyc-0.9.2-r0.apk2024-11-30 21:52 17K 
[   ]hyfetch-doc-1.99.0-r1.apk2024-10-25 22:31 17K 
[   ]tang-15-r0.apk2025-01-20 05:17 17K 
[   ]py3-cobs-1.2.0-r4.apk2024-10-25 22:34 17K 
[   ]perl-sql-abstract-more-doc-1.43-r0.apk2024-12-30 07:37 17K 
[   ]startup-lang-2.0.3-r5.apk2024-10-25 22:36 17K 
[   ]py3-itemloaders-pyc-1.3.2-r0.apk2024-10-25 22:34 17K 
[   ]py3-pylru-1.2.1-r1.apk2024-10-25 22:34 17K 
[   ]py3-ciso8601-2.3.1-r1.apk2024-10-25 22:34 17K 
[   ]linuxptp-timemaster-4.4-r0.apk2024-11-17 18:17 17K 
[   ]libecap-static-1.0.1-r1.apk2024-10-25 22:32 17K 
[   ]py3-wsgiprox-1.5.2-r1.apk2024-10-25 22:34 17K 
[   ]i2util-4.2.1-r1.apk2024-10-25 22:31 17K 
[   ]junit2html-31.0.2-r0.apk2024-10-25 22:31 17K 
[   ]rustic-fish-completion-0.9.3-r0.apk2025-06-09 04:21 17K 
[   ]xmppipe-0.16.0-r1.apk2024-10-25 22:37 17K 
[   ]py3-pymeta3-0.5.1-r6.apk2024-10-25 22:34 17K 
[   ]perl-getopt-tabular-doc-0.3-r4.apk2024-10-25 22:34 17K 
[   ]libcpdf-dev-2.8.1-r0.apk2025-05-08 13:05 17K 
[   ]perl-adapter-async-doc-0.019-r0.apk2024-10-25 22:34 17K 
[   ]py3-flake8-import-order-pyc-0.18.2-r4.apk2024-10-25 22:34 17K 
[   ]py3-lzo-1.16-r1.apk2024-10-25 22:34 17K 
[   ]logc-argp-0.5.0-r1.apk2025-06-13 16:01 17K 
[   ]repo-2.53-r0.apk2025-03-20 01:48 17K 
[   ]dfl-login1-0.2.0-r0.apk2024-10-25 22:30 17K 
[   ]py3-pybars3-pyc-0.9.7-r6.apk2024-10-25 22:34 17K 
[   ]hping3-doc-20051105-r4.apk2024-10-25 22:31 17K 
[   ]git-bug-doc-0.8.1-r2.apk2025-07-10 21:44 17K 
[   ]py3-proxmoxer-2.2.0-r0.apk2024-12-16 12:36 17K 
[   ]gammastep-pyc-2.0.9-r3.apk2024-10-25 22:31 17K 
[   ]lomiri-download-manager-dev-0.2.1-r0.apk2025-04-15 03:17 17K 
[   ]py3-sphinxcontrib-phpdomain-pyc-0.12.0-r0.apk2024-10-25 22:34 17K 
[   ]ocp-indent-doc-1.8.2-r2.apk2024-10-25 22:33 17K 
[   ]raku-file-find-0.2.1-r0.apk2025-06-08 18:40 17K 
[   ]xvidtune-1.0.4-r0.apk2024-10-25 22:37 17K 
[   ]py3-dpath-2.2.0-r0.apk2024-10-25 22:34 17K 
[   ]py3-markdownify-pyc-1.1.0-r0.apk2025-04-18 01:03 17K 
[   ]git-secret-doc-0.5.0-r0.apk2024-10-25 22:31 17K 
[   ]perl-html-formatexternal-26-r0.apk2025-06-07 16:55 17K 
[   ]gsimplecal-2.5.1-r0.apk2024-10-25 22:31 17K 
[   ]py3-bencode-4.0.0-r1.apk2024-10-25 22:34 17K 
[   ]xva-img-1.5-r0.apk2024-10-25 22:37 17K 
[   ]py3-sphinxcontrib-git-11.0.0-r7.apk2024-10-25 22:34 17K 
[   ]xa-doc-2.4.1-r0.apk2025-02-25 13:36 17K 
[   ]py3-orderedmultidict-pyc-1.0.1-r7.apk2024-10-25 22:34 17K 
[   ]twemproxy-doc-0.5.0-r0.apk2024-10-25 22:36 17K 
[   ]hiawatha-letsencrypt-11.6-r1.apk2025-05-27 13:08 17K 
[   ]arc-metacity-20221218-r0.apk2024-10-25 22:29 17K 
[   ]perl-data-dump-streamer-doc-2.42-r1.apk2025-06-30 09:03 17K 
[   ]py3-actdiag-3.0.0-r5.apk2024-10-25 22:34 17K 
[   ]arc-lighter-metacity-20221218-r0.apk2024-10-25 22:29 17K 
[   ]py3-testresources-2.0.1-r6.apk2024-10-25 22:34 17K 
[   ]php82-pecl-vld-0.19.0-r0.apk2025-07-02 01:11 17K 
[   ]gpscorrelate-lang-2.3-r0.apk2025-03-27 07:36 17K 
[   ]php83-pecl-vld-0.19.0-r0.apk2025-07-02 01:11 17K 
[   ]py3-phx-class-registry-pyc-5.0.0-r0.apk2024-10-25 22:34 17K 
[   ]py3-tls_parser-pyc-2.0.1-r1.apk2024-10-25 22:34 17K 
[   ]py3-googletrans-pyc-3.0.0-r5.apk2024-10-25 22:34 17K 
[   ]libfort-dev-0.4.2-r0.apk2024-10-25 22:32 17K 
[   ]hyprland-plugins-xtra-dispatchers-0.49.0-r0.apk2025-05-24 22:10 17K 
[   ]cvs-fast-export-doc-1.65-r0.apk2024-10-25 22:30 17K 
[   ]libideviceactivation-1.1.1-r5.apk2024-10-30 23:45 17K 
[   ]arc-dark-metacity-20221218-r0.apk2024-10-25 22:29 17K 
[   ]arc-darker-metacity-20221218-r0.apk2024-10-25 22:29 17K 
[   ]py3-soapy_power-1.6.1-r5.apk2024-10-25 22:34 17K 
[   ]py3-truststore-0.10.1-r0.apk2025-02-10 08:29 17K 
[   ]libeantic-dev-2.1.0-r1.apk2025-06-13 06:58 18K 
[   ]py3-recommonmark-pyc-0.7.1-r4.apk2024-10-25 22:34 18K 
[   ]perl-dbix-lite-doc-0.36-r0.apk2024-12-30 07:37 18K 
[   ]openscap-daemon-doc-0.1.10-r9.apk2024-10-25 22:34 18K 
[   ]xmag-1.0.8-r0.apk2024-10-25 22:37 18K 
[   ]py3-pyrebase-pyc-3.0.27-r5.apk2024-10-25 22:34 18K 
[   ]boson-0_git20211219-r0.apk2024-10-25 22:29 18K 
[   ]octoprint-firmwarecheck-pyc-2021.10.11-r2.apk2024-10-25 22:33 18K 
[   ]emacs-total-recall-0_git20250426-r0.apk2025-04-30 10:17 18K 
[   ]ocaml-pbkdf-1.2.0-r2.apk2024-10-25 22:33 18K 
[   ]php84-pecl-vld-0.19.0-r0.apk2025-07-02 01:11 18K 
[   ]py3-ansi2html-1.9.2-r0.apk2024-10-25 22:34 18K 
[   ]emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk2024-10-25 22:30 18K 
[   ]perl-xml-stream-doc-1.24-r0.apk2024-10-25 22:34 18K 
[   ]perl-html-tableextract-2.15-r4.apk2024-10-25 22:34 18K 
[   ]remind-caldav-0.8.0-r4.apk2024-10-25 22:34 18K 
[   ]fungw-mujs-1.2.1-r0.apk2024-12-30 10:48 18K 
[   ]emacs-taxy-magit-section-0.14.3-r0.apk2025-04-23 07:43 18K 
[   ]ocaml-randomconv-dev-0.1.3-r2.apk2024-10-25 22:33 18K 
[   ]mdp-1.0.18-r0.apk2025-07-10 21:45 18K 
[   ]surfraw-doc-2.3.0-r0.apk2024-10-25 22:36 18K 
[   ]atool-0.39.0-r4.apk2024-10-25 22:29 18K 
[   ]xsecurelock-doc-1.9.0-r1.apk2024-10-25 22:37 18K 
[   ]pacparser-doc-1.4.5-r1.apk2024-10-25 22:34 18K 
[   ]py3-dpath-pyc-2.2.0-r0.apk2024-10-25 22:34 18K 
[   ]persistent-cache-cpp-dev-1.0.7-r4.apk2025-02-14 16:28 18K 
[   ]hyx-2024.02.29-r0.apk2024-10-25 22:31 18K 
[   ]rmlint-doc-2.10.2-r2.apk2024-10-25 22:35 18K 
[   ]py3-blockchain-pyc-1.4.4-r7.apk2024-10-25 22:34 18K 
[   ]libiio-doc-0.25-r2.apk2024-10-25 22:32 18K 
[   ]emacs-sqlite3-api-0.18-r0.apk2024-10-25 22:30 18K 
[   ]licenseheaders-0.8.8-r4.apk2024-10-25 22:32 18K 
[   ]perl-catalyst-model-dbic-schema-doc-0.66-r0.apk2025-06-11 18:36 18K 
[   ]py3-sphinxcontrib-cartouche-1.1.2-r7.apk2024-10-25 22:34 18K 
[   ]ldapdomaindump-0.9.4-r2.apk2025-05-29 13:59 18K 
[   ]hatop-0.8.2-r0.apk2024-10-25 22:31 18K 
[   ]alarmwakeup-dbg-0.2.1-r0.apk2024-10-25 22:29 18K 
[   ]circuslinux-doc-1.0.3-r1.apk2024-10-25 22:30 18K 
[   ]anarch-doc-1.0-r1.apk2024-10-25 22:29 18K 
[   ]perl-opentracing-1.006-r0.apk2024-10-25 22:34 18K 
[   ]py3-pymaging-0.0.20130908-r10.apk2024-10-25 22:34 18K 
[   ]hyprland-plugins-csgo-vulkan-fix-0.49.0-r0.apk2025-05-24 22:10 18K 
[   ]perl-http-headers-actionpack-0.09-r0.apk2025-06-13 20:17 18K 
[   ]perl-dbix-lite-0.36-r0.apk2024-12-30 07:37 18K 
[   ]fnf-0.1-r0.apk2024-10-25 22:30 18K 
[   ]py3-feedgenerator-2.1.0-r2.apk2024-10-25 22:34 18K 
[   ]py3-flask-qrcode-3.2.0-r0.apk2024-12-12 07:32 18K 
[   ]mobpass-0.2-r6.apk2024-10-25 22:32 18K 
[   ]perl-datetime-format-flexible-0.37-r0.apk2024-12-31 14:26 18K 
[   ]diceware-pyc-1.0.1-r0.apk2025-01-13 23:50 18K 
[   ]php81-pecl-vips-1.0.13-r0.apk2024-10-25 22:34 18K 
[   ]py3-sphinxcontrib-httpdomain-1.8.1-r3.apk2024-10-25 22:34 18K 
[   ]py3-flask-migrate-pyc-4.0.7-r0.apk2024-10-25 22:34 18K 
[   ]ocaml-hkdf-dev-1.0.4-r2.apk2024-10-25 22:33 18K 
[   ]abnfgen-0.21-r0.apk2025-05-27 23:26 18K 
[   ]fungw-duktape-1.2.1-r0.apk2024-12-30 10:48 18K 
[   ]py3-flake8-isort-6.1.1-r1.apk2024-10-25 22:34 18K 
[   ]freealut-1.1.0-r1.apk2024-10-25 22:30 18K 
[   ]visidata-doc-3.2-r0.apk2025-06-20 13:37 18K 
[   ]php85-pcntl-8.5.0_alpha1-r0.apk2025-07-02 19:08 18K 
[   ]sthttpd-doc-2.27.1-r2.apk2024-10-25 22:36 18K 
[   ]perl-bsd-resource-1.2911-r11.apk2025-06-30 09:03 18K 
[   ]perl-cpan-changes-doc-0.500004-r0.apk2024-10-25 22:34 18K 
[   ]py3-kerberos-1.3.1-r5.apk2024-10-25 22:34 18K 
[   ]py3-flake8-copyright-0.2.4-r3.apk2024-10-25 22:34 18K 
[   ]liberasurecode-dev-1.6.3-r1.apk2024-10-25 22:32 18K 
[   ]py3-flask-cache-pyc-0.13.1-r9.apk2024-10-25 22:34 18K 
[   ]py3-libpyshell-pyc-0.4.1-r1.apk2025-06-10 11:39 18K 
[   ]py3-aioxmpp-doc-0.13.3-r3.apk2024-10-25 22:34 18K 
[   ]wayqt-dev-0.3.0-r0.apk2025-04-21 23:41 18K 
[   ]xkb-switch-1.8.5-r1.apk2025-05-14 20:13 18K 
[   ]newsyslog-1.2.0.91-r1.apk2024-10-25 22:32 18K 
[   ]cowsay-3.04-r2.apk2024-10-25 22:30 18K 
[   ]libdbusaccess-1.0.20-r0.apk2025-04-15 03:17 18K 
[   ]speedtest-doc-5.2.5-r1.apk2024-10-25 22:36 18K 
[   ]licenseheaders-pyc-0.8.8-r4.apk2024-10-25 22:32 18K 
[   ]py3-cython-test-exception-raiser-1.0.2-r0.apk2024-10-25 22:34 18K 
[   ]ocaml-ppx_blob-dev-0.8.0-r0.apk2024-10-25 22:33 18K 
[   ]ssdfs-tools-dev-4.09-r0.apk2024-10-25 22:36 18K 
[   ]py3-wbdata-1.0.0-r1.apk2024-10-25 22:34 18K 
[   ]py3-bson-pyc-0.5.10-r6.apk2024-10-25 22:34 19K 
[   ]py3-spin-0.8-r0.apk2024-10-25 22:34 19K 
[   ]gkrellm-doc-2.3.11-r0.apk2025-01-08 23:36 19K 
[   ]py3-pltable-1.1.0-r1.apk2024-11-13 07:31 19K 
[   ]lbb-doc-0.9.1-r4.apk2025-07-10 21:45 19K 
[   ]perl-autobox-3.0.2-r1.apk2025-06-30 09:03 19K 
[   ]py3-svgpath-7.0-r0.apk2025-07-08 08:16 19K 
[   ]py3-sphinxcontrib-plantuml-pyc-0.30-r0.apk2025-05-08 13:05 19K 
[   ]py3-vatnumber-1.2-r9.apk2024-10-25 22:34 19K 
[   ]mdnsd-libs-0.12-r1.apk2024-10-25 22:32 19K 
[   ]py3-iniparse-0.5-r7.apk2024-10-25 22:34 19K 
[   ]php81-bcmath-8.1.33-r0.apk2025-07-03 00:13 19K 
[   ]libmpfi-doc-1.5.4-r2.apk2024-10-25 22:32 19K 
[   ]wsmancli-2.6.2-r0.apk2024-10-25 22:37 19K 
[   ]py3-helper-2.5.0-r5.apk2024-10-25 22:34 19K 
[   ]py3-enzyme-pyc-0.5.1-r1.apk2025-05-14 20:13 19K 
[   ]py3-pathvalidate-3.2.3-r0.apk2025-01-06 11:40 19K 
[   ]libemf2svg-utils-1.1.0-r2.apk2024-10-25 22:32 19K 
[   ]dmenu-wl-0.1-r0.apk2025-07-02 12:32 19K 
[   ]py3-agithub-2.2.2-r7.apk2025-03-19 14:13 19K 
[   ]py3-aiohttp-remotes-pyc-1.3.0-r0.apk2024-11-04 13:28 19K 
[   ]powerstat-0.04.01-r0.apk2024-10-25 22:34 19K 
[   ]rmlint-lang-2.10.2-r2.apk2024-10-25 22:35 19K 
[   ]py3-sphinxcontrib-spelling-pyc-8.0.0-r3.apk2024-10-25 22:34 19K 
[   ]bootterm-0.5-r0.apk2024-10-25 22:29 19K 
[   ]mdnsd-static-0.12-r1.apk2024-10-25 22:32 19K 
[   ]py3-evohome-client-0.3.7-r4.apk2024-10-25 22:34 19K 
[   ]php81-tidy-8.1.33-r0.apk2025-07-03 00:13 19K 
[   ]razercfg-gui-0.42-r7.apk2024-10-25 22:34 19K 
[   ]emacs-svg-lib-0_git20240219-r0.apk2024-10-25 22:30 19K 
[   ]moe-doc-1.14-r0.apk2024-10-25 22:32 19K 
[   ]musikcube-dev-3.0.4-r1.apk2025-01-26 20:32 19K 
[   ]php81-xml-8.1.33-r0.apk2025-07-03 00:13 19K 
[   ]node-libpg-query-13.1.2-r5.apk2024-10-25 22:33 19K 
[   ]lua-libmodbus-doc-0.6.1-r0.apk2024-10-25 22:32 19K 
[   ]ddcci-driver-linux-src-0.4.5-r2.apk2025-03-19 14:12 19K 
[   ]perl-catalyst-model-dbic-schema-0.66-r0.apk2025-06-11 18:36 19K 
[   ]py3-aioopenssl-pyc-0.6.0-r4.apk2024-10-25 22:34 19K 
[   ]hexedit-1.6_git20230905-r0.apk2024-10-25 22:31 19K 
[   ]uclient-20241022-r0.apk2025-05-30 00:00 19K 
[   ]ocaml-gettext-doc-0.4.2-r3.apk2024-10-25 22:33 19K 
[   ]perl-protocol-database-postgresql-2.001-r0.apk2024-10-25 22:34 19K 
[   ]perl-hash-ordered-doc-0.014-r0.apk2024-10-25 22:34 19K 
[   ]rizin-doc-0.8.1-r0.apk2025-06-30 18:51 19K 
[   ]ocaml-mirage-net-dev-4.0.0-r3.apk2024-10-25 22:33 19K 
[   ]sdparm-doc-1.12-r1.apk2024-10-25 22:36 19K 
[   ]py3-yara-4.5.1-r0.apk2024-10-25 22:34 19K 
[   ]materia-kde-20220823-r0.apk2024-10-25 22:32 19K 
[   ]php81-pecl-yaml-2.2.4-r0.apk2024-10-25 22:34 19K 
[   ]shellinabox-doc-2.21-r3.apk2024-10-25 22:36 19K 
[   ]perl-mojo-sqlite-doc-3.009-r0.apk2024-10-25 22:34 19K 
[   ]php81-pecl-psr-1.2.0-r0.apk2024-10-25 22:34 19K 
[   ]lua5.1-linenoise-0.9-r1.apk2024-10-25 22:32 19K 
[   ]py3-schema-0.7.7-r0.apk2025-07-07 18:04 19K 
[   ]bootinfo-0.1.0-r4.apk2024-10-25 22:29 19K 
[   ]lua5.4-linenoise-0.9-r1.apk2024-10-25 22:32 19K 
[   ]lua5.3-linenoise-0.9-r1.apk2024-10-25 22:32 19K 
[   ]ampy-pyc-1.1.0-r6.apk2025-03-19 14:11 19K 
[   ]php85-pecl-yaml-2.2.4-r0.apk2025-07-02 20:59 19K 
[   ]lua5.2-linenoise-0.9-r1.apk2024-10-25 22:32 19K 
[   ]perl-b-utils-0.27-r1.apk2025-06-30 09:03 19K 
[   ]php85-pdo_sqlite-8.5.0_alpha1-r0.apk2025-07-02 19:08 19K 
[   ]imrsh-dbg-0_git20210320-r1.apk2024-10-25 22:31 19K 
[   ]py3-pytest-subprocess-1.5.2-r0.apk2024-10-25 22:34 20K 
[   ]php81-pecl-decimal-1.5.0-r1.apk2024-10-25 22:34 20K 
[   ]adjtimex-1.29-r0.apk2024-10-25 22:29 20K 
[   ]lua-lupa-1.0-r0.apk2024-10-25 22:32 20K 
[   ]py3-wbdata-pyc-1.0.0-r1.apk2024-10-25 22:34 20K 
[   ]libofx-dev-0.10.9-r1.apk2024-10-25 22:32 20K 
[   ]perl-xml-atom-0.43-r0.apk2024-10-25 22:34 20K 
[   ]liblastfm-qt-dev-1.1.10_git20190823-r3.apk2024-10-25 22:32 20K 
[   ]libnxml-0.18.3-r0.apk2024-10-25 22:32 20K 
[   ]ocaml-seq-dev-0.3.1-r2.apk2024-10-25 22:33 20K 
[   ]perl-gtk3-0.038-r1.apk2024-10-25 22:34 20K 
[   ]droidcam-2.1.3-r1.apk2024-11-08 22:25 20K 
[   ]py3-deluge-client-pyc-1.10.2-r0.apk2024-10-25 22:34 20K 
[   ]libmrss-0.19.2-r1.apk2024-10-25 22:32 20K 
[   ]php81-iconv-8.1.33-r0.apk2025-07-03 00:13 20K 
[   ]pimd-dense-doc-2.1.0-r0.apk2024-10-25 22:34 20K 
[   ]py3-gevent-websocket-0.10.1-r8.apk2024-10-25 22:34 20K 
[   ]ocaml-cmdliner-doc-1.1.1-r3.apk2024-10-25 22:33 20K 
[   ]perl-test-trap-0.3.5-r1.apk2024-10-25 22:34 20K 
[   ]perl-gearman-doc-2.004.015-r3.apk2024-10-25 22:34 20K 
[   ]py3-flask-restaction-pyc-0.25.3-r8.apk2024-10-25 22:34 20K 
[   ]perl-ffi-c-0.15-r0.apk2024-10-25 22:34 20K 
[   ]perl-test-trap-doc-0.3.5-r1.apk2024-10-25 22:34 20K 
[   ]perl-tree-simple-visitorfactory-0.16-r0.apk2025-03-19 14:13 20K 
[   ]neko-doc-2.3.0-r0.apk2024-11-20 23:25 20K 
[   ]xfce4-panel-profiles-doc-1.1.1-r0.apk2025-07-06 11:15 20K 
[   ]apk-snap-doc-3.1.1-r0.apk2024-10-25 22:29 20K 
[   ]py3-incoming-pyc-0.3.1-r8.apk2024-10-25 22:34 20K 
[   ]php85-tidy-8.5.0_alpha1-r0.apk2025-07-02 19:08 20K 
[   ]ydcv-0.7-r8.apk2024-10-25 22:37 20K 
[   ]linuxptp-tz2alt-4.4-r0.apk2024-11-17 18:17 20K 
[   ]lomiri-app-launch-dev-0.1.12-r1.apk2025-06-28 19:26 20K 
[   ]php85-iconv-8.5.0_alpha1-r0.apk2025-07-02 19:08 20K 
[   ]raku-base64-0.1.0-r0.apk2025-06-07 09:42 20K 
[   ]perl-test-expander-doc-2.5.1-r0.apk2024-10-25 22:34 20K 
[   ]py3-piccata-2.0.3-r1.apk2024-10-25 22:34 20K 
[   ]py3-py-radix-0.10.0-r10.apk2024-10-25 22:34 20K 
[   ]ruby-minitest-reporters-1.7.1-r0.apk2025-07-06 20:54 20K 
[   ]ddgr-2.2-r0.apk2024-10-25 22:30 20K 
[   ]materia-light-kde-plasma-20220823-r0.apk2024-10-25 22:32 20K 
[   ]zita-resampler-1.11.2-r0.apk2025-04-15 04:21 20K 
[   ]readosm-dev-1.1.0-r3.apk2025-05-12 23:26 20K 
[   ]py3-senf-1.5.0-r0.apk2025-06-27 04:59 20K 
[   ]vim-rust-305-r0.apk2024-10-25 22:36 20K 
[   ]musikcube-plugin-stockencoders-3.0.4-r1.apk2025-01-26 20:32 20K 
[   ]perl-sql-abstract-classic-doc-1.91-r1.apk2024-10-25 22:34 20K 
[   ]kcbench-doc-0.9.12-r0.apk2025-04-25 07:14 20K 
[   ]py3-imageio-ffmpeg-pyc-0.4.9-r1.apk2024-10-25 22:34 20K 
[   ]kubeone-doc-1.10.0-r1.apk2025-07-10 21:45 20K 
[   ]perl-web-machine-0.17-r0.apk2025-06-13 20:17 20K 
[   ]ptpd-doc-2.3.1-r1.apk2024-10-25 22:34 20K 
[   ]portsmf-dev-239-r1.apk2024-10-25 22:34 20K 
[   ]perl-expect-doc-1.38-r0.apk2025-04-19 21:01 20K 
[   ]py3-libnacl-2.1.0-r1.apk2024-10-25 22:34 20K 
[   ]eiwd-doc-3.8-r0.apk2025-05-13 19:09 20K 
[   ]py3-nmap-0.7.1-r4.apk2024-10-25 22:34 20K 
[   ]libiscsi-dev-1.19.0-r2.apk2024-10-25 22:32 20K 
[   ]libserialport-0.1.1-r1.apk2024-10-25 22:32 20K 
[   ]greetd-mini-wl-greeter-0_git20230821-r0.apk2024-10-25 22:31 20K 
[   ]gtklock-4.0.0-r0.apk2025-01-31 17:17 20K 
[   ]py3-pyisbn-1.3.1-r3.apk2024-10-25 22:34 20K 
[   ]perl-http-xsheaders-0.400005-r2.apk2025-06-30 09:03 20K 
[   ]sqm-scripts-1.6.0-r0.apk2024-10-25 22:36 20K 
[   ]nmap-parse-output-1.5.1-r1.apk2025-05-29 13:59 20K 
[   ]py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk2024-10-25 22:34 20K 
[   ]py3-schema-pyc-0.7.7-r0.apk2025-07-07 18:04 21K 
[   ]py3-teletype-pyc-1.3.4-r3.apk2024-10-25 22:34 21K 
[   ]flatpak-xdg-utils-1.0.6-r0.apk2024-10-25 22:30 21K 
[   ]tang-doc-15-r0.apk2025-01-20 05:17 21K 
[   ]ocaml-mirage-kv-4.0.1-r3.apk2024-10-25 22:33 21K 
[   ]py3-poetry-dynamic-versioning-1.9.0-r0.apk2025-07-06 00:57 21K 
[   ]htmlcxx-dev-0.87-r1.apk2024-10-25 22:31 21K 
[   ]circuslinux-1.0.3-r1.apk2024-10-25 22:30 21K 
[   ]py3-altgraph-0.17.4-r1.apk2024-10-25 22:34 21K 
[   ]detox-doc-2.0.0-r0.apk2024-10-25 22:30 21K 
[   ]libcyaml-1.4.2-r0.apk2024-10-25 22:32 21K 
[   ]iprange-1.0.4-r1.apk2024-10-25 22:31 21K 
[   ]fusee-nano-0.5.3-r1.apk2024-10-25 22:30 21K 
[   ]py3-aioopenssl-0.6.0-r4.apk2024-10-25 22:34 21K 
[   ]isomd5sum-1.2.3-r3.apk2024-10-25 22:31 21K 
[   ]php81-sqlite3-8.1.33-r0.apk2025-07-03 00:13 21K 
[   ]bump2version-1.0.1-r6.apk2024-10-25 22:29 21K 
[   ]tup-doc-0.7.11-r1.apk2025-06-19 11:30 21K 
[   ]py3-firmata-pyc-1.0.3-r10.apk2024-10-25 22:34 21K 
[   ]py3-telemetrix-1.20-r3.apk2024-10-25 22:34 21K 
[   ]libiio-pyc-0.25-r2.apk2024-10-25 22:32 21K 
[   ]hiawatha-doc-11.6-r1.apk2025-05-27 13:08 21K 
[   ]libhomfly-1.02_p6-r1.apk2024-10-25 22:32 21K 
[   ]zvbi-doc-0.2.44-r0.apk2025-03-19 14:14 21K 
[   ]dfl-ipc-0.2.0-r0.apk2024-10-25 22:30 21K 
[   ]minimodem-0.24-r1.apk2024-10-25 22:32 21K 
[   ]shc-4.0.3-r2.apk2024-10-25 22:36 21K 
[   ]py3-pure_protobuf-3.1.2-r0.apk2024-10-25 22:34 21K 
[   ]libraqm-doc-0.10.2-r0.apk2024-10-25 22:32 21K 
[   ]perl-extutils-xsbuilder-doc-0.28-r5.apk2024-10-25 22:34 21K 
[   ]recoll-doc-1.37.5-r1.apk2024-10-25 22:34 21K 
[   ]php81-snmp-8.1.33-r0.apk2025-07-03 00:13 21K 
[   ]nvim-packer-doc-0.0.0_git20220910-r1.apk2024-10-25 22:33 21K 
[   ]dnsenum-1.3.2-r0.apk2024-10-25 22:30 21K 
[   ]ubase-doc-20200605-r3.apk2024-10-25 22:36 21K 
[   ]perl-net-patricia-1.22-r13.apk2025-06-30 09:03 21K 
[   ]nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r1.apk2024-10-25 22:33 21K 
[   ]php81-pecl-ast-1.1.2-r0.apk2024-10-25 22:34 21K 
[   ]ruff-lsp-0.0.62-r0.apk2025-03-06 23:31 21K 
[   ]py3-agithub-pyc-2.2.2-r7.apk2025-03-19 14:13 21K 
[   ]py3-linkify-it-py-2.0.3-r1.apk2024-10-25 22:34 21K 
[   ]py3-nptyping-2.5.0-r3.apk2024-10-25 22:34 21K 
[   ]py3-unidns-pyc-0.0.1-r3.apk2025-05-29 13:59 21K 
[   ]py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk2024-10-25 22:34 21K 
[   ]py3-furl-2.1.3-r4.apk2024-10-25 22:34 21K 
[   ]php81-pdo_pgsql-8.1.33-r0.apk2025-07-03 00:13 21K 
[   ]argocd-bash-completion-3.0.0-r2.apk2025-07-10 21:44 21K 
[   ]libspatialindex-dev-2.1.0-r0.apk2025-05-31 12:07 21K 
[   ]py3-actdiag-pyc-3.0.0-r5.apk2024-10-25 22:34 21K 
[   ]materia-light-kde-yakuake-20220823-r0.apk2024-10-25 22:32 21K 
[   ]aoetools-37-r2.apk2025-01-14 14:34 22K 
[   ]varnish-modules-doc-0.26.0-r0.apk2025-07-06 20:54 22K 
[   ]php82-pecl-excimer-1.2.5-r0.apk2025-05-20 17:41 22K 
[   ]cups-pdf-3.0.2-r0.apk2025-07-04 23:11 22K 
[   ]starfighter-doc-2.4-r0.apk2024-10-25 22:36 22K 
[   ]php83-pecl-excimer-1.2.5-r0.apk2025-05-20 17:41 22K 
[   ]fulcrum-doc-1.9.8-r1.apk2024-10-25 22:30 22K 
[   ]py3-pytest-html-4.1.1-r1.apk2024-10-25 22:34 22K 
[   ]perl-flowd-0.9.1-r11.apk2025-06-30 09:03 22K 
[   ]perl-xml-xpathengine-0.14-r0.apk2025-07-08 18:54 22K 
[   ]materia-dark-kde-yakuake-20220823-r0.apk2024-10-25 22:32 22K 
[   ]perl-alien-base-modulebuild-1.17-r0.apk2025-06-08 18:40 22K 
[   ]py3-pytest-html-pyc-4.1.1-r1.apk2024-10-25 22:34 22K 
[   ]musikcube-plugin-mpris-3.0.4-r1.apk2025-01-26 20:32 22K 
[   ]gxlimg-0_git20240711-r0.apk2025-01-21 20:43 22K 
[   ]py3-logtop-0.7-r0.apk2024-10-25 22:34 22K 
[   ]perl-net-idn-encode-doc-2.500-r2.apk2025-06-30 09:03 22K 
[   ]gossip-doc-0.14.0-r0.apk2025-03-19 14:12 22K 
[   ]py3-pyroma-4.2-r0.apk2024-10-25 22:34 22K 
[   ]py3-virtualenvwrapper-6.1.0-r1.apk2024-10-25 22:34 22K 
[   ]py3-ansi2html-pyc-1.9.2-r0.apk2024-10-25 22:34 22K 
[   ]wiremapper-0.10.0-r0.apk2024-10-25 22:37 22K 
[   ]py3-wgconfig-1.1.0-r0.apk2025-01-29 07:49 22K 
[   ]libnfc-doc-1.8.0-r1.apk2024-10-25 22:32 22K 
[   ]sregex-0.0.1-r1.apk2024-10-25 22:36 22K 
[   ]tuned-gtk-2.25.1-r2.apk2025-05-28 12:42 22K 
[   ]vbindiff-3.0_beta5-r2.apk2025-05-25 09:50 22K 
[   ]perl-moosex-methodattributes-doc-0.32-r0.apk2025-03-19 14:13 22K 
[   ]perl-mastodon-client-0.017-r0.apk2024-10-25 22:34 22K 
[   ]py3-aiowinreg-0.0.12-r1.apk2025-05-29 13:59 22K 
[   ]py3-sphinx-argparse-pyc-0.5.2-r0.apk2024-10-25 22:34 22K 
[   ]py3-linux-procfs-pyc-0.7.3-r0.apk2025-01-13 22:19 22K 
[   ]py3-mando-0.7.1-r3.apk2024-10-25 22:34 22K 
[   ]raku-json-optin-0.0.2-r0.apk2025-06-07 09:42 22K 
[   ]bestline-0.0_git20211108-r0.apk2024-10-25 22:29 22K 
[   ]ruby-fast_gettext-3.1.0-r0.apk2025-05-26 01:58 22K 
[   ]timewarrior-doc-1.7.1-r0.apk2024-10-25 22:36 22K 
[   ]py3-dexml-0.5.1-r9.apk2024-10-25 22:34 22K 
[   ]py3-coreapi-2.3.3-r9.apk2024-10-25 22:34 22K 
[   ]sblim-sfcc-dev-2.2.8-r3.apk2024-10-25 22:35 22K 
[   ]py3-html5-parser-pyc-0.4.12-r1.apk2024-10-25 22:34 22K 
[   ]perl-dbix-connector-doc-0.60-r0.apk2024-12-30 07:37 22K 
[   ]policycoreutils-doc-3.6-r1.apk2024-10-25 22:34 22K 
[   ]perl-glib-ex-objectbits-doc-17-r0.apk2024-10-25 22:34 22K 
[   ]ocaml-containers-top-3.7-r2.apk2024-10-25 22:33 22K 
[   ]swi-prolog-pyc-9.2.9-r0.apk2024-12-21 11:08 22K 
[   ]php81-pecl-smbclient-1.2.0_pre-r0.apk2024-12-10 20:02 22K 
[   ]py3-pymata-2.20-r4.apk2024-10-25 22:34 22K 
[   ]py3-remind-pyc-0.19.2-r0.apk2025-04-22 19:45 23K 
[   ]perl-data-checks-0.10-r1.apk2025-06-30 09:03 23K 
[   ]perl-rxperl-doc-6.29.8-r0.apk2024-10-25 22:34 23K 
[   ]emacs-emacsql-3.1.1_git20240714-r0.apk2024-10-25 22:30 23K 
[   ]php85-simplexml-8.5.0_alpha1-r0.apk2025-07-02 19:08 23K 
[   ]htslib-doc-1.19-r0.apk2024-10-25 22:31 23K 
[   ]php81-dba-8.1.33-r0.apk2025-07-03 00:13 23K 
[   ]py3-pyvcd-0.4.1-r0.apk2024-11-11 22:46 23K 
[   ]tanidvr-1.4.1-r2.apk2025-03-21 12:52 23K 
[   ]mcjoin-2.11-r0.apk2024-10-25 22:32 23K 
[   ]py3-enzyme-0.5.1-r1.apk2025-05-14 20:13 23K 
[   ]py3-pysrt-pyc-1.1.2-r5.apk2025-05-14 20:13 23K 
[   ]perl-css-object-0.2.0-r0.apk2024-10-25 22:34 23K 
[   ]emacs-ace-window-0.10.0_git20220911-r0.apk2024-10-25 22:30 23K 
[   ]cdba-server-1.0-r2.apk2024-10-25 22:29 23K 
[   ]dooit-extras-pyc-0.2.0-r0.apk2024-12-07 21:29 23K 
[   ]msgpuck-dev-2.0-r1.apk2024-10-25 22:32 23K 
[   ]autotrash-0.4.7-r0.apk2024-10-25 22:29 23K 
[   ]perl-database-async-0.019-r0.apk2024-10-25 22:34 23K 
[   ]nlopt-doc-2.10.0-r1.apk2025-06-04 13:19 23K 
[   ]libsemanage-doc-3.6-r1.apk2024-10-25 22:32 23K 
[   ]planarity-dev-4.0.0.0-r0.apk2025-03-19 14:13 23K 
[   ]perl-html-formatexternal-doc-26-r0.apk2025-06-07 16:55 23K 
[   ]py3-pymata4-1.15-r4.apk2024-10-25 22:34 23K 
[   ]ocaml-lwt-dllist-1.0.1-r3.apk2024-10-25 22:33 23K 
[   ]py3-tasklib-2.5.1-r2.apk2024-10-25 22:34 23K 
[   ]pfetch-1.9.0-r0.apk2025-04-10 15:14 23K 
[   ]clevis-doc-21-r0.apk2025-01-20 05:17 23K 
[   ]flintqs-1.0-r1.apk2024-10-25 22:30 23K 
[   ]php81-simplexml-8.1.33-r0.apk2025-07-03 00:13 23K 
[   ]planarity-4.0.0.0-r0.apk2025-03-19 14:13 23K 
[   ]kodaskanna-lang-0.2.2-r0.apk2025-01-20 09:16 23K 
[   ]freealut-dev-1.1.0-r1.apk2024-10-25 22:30 23K 
[   ]perl-getopt-tabular-0.3-r4.apk2024-10-25 22:34 23K 
[   ]lua5.2-luacov-0.15.0-r0.apk2024-10-25 22:32 23K 
[   ]php85-snmp-8.5.0_alpha1-r0.apk2025-07-02 19:08 23K 
[   ]lua5.1-luacov-0.15.0-r0.apk2024-10-25 22:32 23K 
[   ]postgresql-pg_variables-1.2.5_git20230922-r0.apk2024-10-25 22:34 23K 
[   ]lua5.3-luacov-0.15.0-r0.apk2024-10-25 22:32 23K 
[   ]lua5.4-luacov-0.15.0-r0.apk2024-10-25 22:32 23K 
[   ]py3-compdb-0.2.0-r8.apk2024-10-25 22:34 23K 
[   ]bindfs-1.17.7-r1.apk2025-06-19 11:30 23K 
[   ]py3-geoip-1.3.2-r4.apk2024-10-25 22:34 23K 
[   ]dulcepan-1.0.2-r0.apk2024-10-25 22:30 23K 
[   ]py3-minidb-pyc-2.0.8-r0.apk2024-11-13 19:50 23K 
[   ]py3-linkify-it-py-pyc-2.0.3-r1.apk2024-10-25 22:34 23K 
[   ]admesh-doc-0.98.5-r0.apk2024-10-25 22:29 23K 
[   ]fungw-cli-1.2.1-r0.apk2024-12-30 10:48 23K 
[   ]emacs-derl-0_git20231004-r0.apk2024-10-25 22:30 23K 
[   ]py3-bite-parser-pyc-0.2.5-r0.apk2024-10-28 22:51 23K 
[   ]perl-moosex-role-parameterized-doc-1.11-r0.apk2025-03-19 14:13 24K 
[   ]pmccabe-2.8-r1.apk2024-10-25 22:34 24K 
[   ]knxd-dev-0.14.61-r1.apk2024-12-14 20:04 24K 
[   ]tncattach-0.1.9-r1.apk2024-10-25 22:36 24K 
[   ]py3-timeago-1.0.16-r0.apk2024-10-25 22:34 24K 
[   ]nwg-displays-0.3.13-r1.apk2024-10-25 22:33 24K 
[   ]junit2html-pyc-31.0.2-r0.apk2024-10-25 22:31 24K 
[   ]pam-krb5-doc-4.11-r1.apk2024-10-25 22:34 24K 
[   ]py3-ffmpeg-0.2.0-r5.apk2025-05-14 20:13 24K 
[   ]hopalong-0.1-r3.apk2024-10-25 22:31 24K 
[   ]makedumpfile-doc-1.7.7-r0.apk2025-04-22 16:31 24K 
[   ]mdnsd-0.12-r1.apk2024-10-25 22:32 24K 
[   ]shipments-0.3.0-r0.apk2024-10-25 22:36 24K 
[   ]pam-krb5-4.11-r1.apk2024-10-25 22:34 24K 
[   ]thunar-gtkhash-plugin-1.5-r0.apk2025-03-10 19:17 24K 
[   ]tree-sitter-clojure-0.0.12-r0.apk2024-10-25 22:36 24K 
[   ]py3-svgpath-pyc-7.0-r0.apk2025-07-08 08:16 24K 
[   ]py3-discid-1.2.0-r6.apk2024-10-25 22:34 24K 
[   ]antimicrox-doc-3.5.1-r0.apk2025-06-13 15:54 24K 
[   ]py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk2024-10-25 22:34 24K 
[   ]malcontent-dev-0.12.0-r0.apk2025-05-08 13:05 24K 
[   ]surf-2.1-r3.apk2024-10-25 22:36 24K 
[   ]py3-aiosasl-pyc-0.5.0-r4.apk2024-10-25 22:34 24K 
[   ]php85-common-8.5.0_alpha1-r0.apk2025-07-02 19:08 24K 
[   ]newsyslog-doc-1.2.0.91-r1.apk2024-10-25 22:32 24K 
[   ]lua5.2-xml-1.1.3-r2.apk2024-10-25 22:32 24K 
[   ]nemo-gtkhash-plugin-1.5-r0.apk2025-03-10 19:17 24K 
[   ]py3-rst2ansi-pyc-0.1.5-r0.apk2024-10-25 22:34 24K 
[   ]perl-dns-unbound-0.29-r2.apk2025-06-30 09:03 24K 
[   ]cliquer-tests-1.22-r2.apk2024-10-25 22:30 24K 
[   ]ntpd-rs-doc-1.6.0-r0.apk2025-06-27 15:09 24K 
[   ]nfcd-dev-1.2.2-r0.apk2025-05-08 13:05 24K 
[   ]py3-twiggy-0.5.1-r4.apk2024-10-25 22:34 24K 
[   ]lua5.1-xml-1.1.3-r2.apk2024-10-25 22:32 24K 
[   ]py3-hatch-openzim-bootstrap-0.1.0-r0.apk2024-10-25 22:34 24K 
[   ]perl-catalystx-simplelogin-doc-0.21-r0.apk2025-05-07 09:38 24K 
[   ]xmp-4.2.0-r0.apk2024-10-25 22:37 24K 
[   ]vidcutter-doc-6.0.5.3-r0.apk2024-10-25 22:36 24K 
[   ]libcyaml-static-1.4.2-r0.apk2024-10-25 22:32 24K 
[   ]gupnp-dlna-dev-0.12.0-r0.apk2024-12-01 13:56 24K 
[   ]xed-python-3.8.2-r0.apk2025-02-05 23:24 24K 
[   ]git-revise-0.7.0-r5.apk2024-10-25 22:31 24K 
[   ]pdfcrack-0.20-r0.apk2024-10-25 22:34 24K 
[   ]curlftpfs-0.9.2-r3.apk2024-10-25 22:30 24K 
[   ]libhomfly-dev-1.02_p6-r1.apk2024-10-25 22:32 24K 
[   ]libm4rie-dev-20200125-r5.apk2025-01-15 18:59 24K 
[   ]php85-sqlite3-8.5.0_alpha1-r0.apk2025-07-02 19:08 24K 
[   ]epr-pyc-2.4.15-r1.apk2024-10-25 22:30 24K 
[   ]py3-remind-0.19.2-r0.apk2025-04-22 19:45 24K 
[   ]py3-spin-pyc-0.8-r0.apk2024-10-25 22:34 24K 
[   ]ocaml-uuidm-dev-0.9.8-r2.apk2024-10-25 22:33 24K 
[   ]font-siji-20190218_git-r2.apk2024-10-25 22:30 24K 
[   ]py3-pytest-subprocess-pyc-1.5.2-r0.apk2024-10-25 22:34 25K 
[   ]py3-hatch-openzim-0.2.0-r0.apk2024-10-25 22:34 25K 
[   ]py3-mopidy-jellyfin-1.0.4-r4.apk2024-10-25 22:34 25K 
[   ]py3-librtmp-pyc-0.3.0-r6.apk2024-10-25 22:34 25K 
[   ]ocaml-mirage-profile-0.9.1-r3.apk2024-10-25 22:33 25K 
[   ]perl-mojo-redis-doc-3.29-r0.apk2024-10-25 22:34 25K 
[   ]py3-iniparse-pyc-0.5-r7.apk2024-10-25 22:34 25K 
[   ]php85-gmp-8.5.0_alpha1-r0.apk2025-07-02 19:08 25K 
[   ]py3-wtf-peewee-pyc-3.0.6-r0.apk2024-10-25 22:34 25K 
[   ]perl-email-sender-2.601-r0.apk2025-04-04 10:55 25K 
[   ]py3-urlobject-pyc-2.4.3-r9.apk2024-10-25 22:34 25K 
[   ]3proxy-doc-0.9.4-r2.apk2025-04-04 07:40 25K 
[   ]py3-qt.py-pyc-1.3.10-r1.apk2024-10-25 22:34 25K 
[   ]gnome-metronome-lang-1.3.0-r0.apk2024-10-25 22:31 25K 
[   ]perl-moosex-getopt-doc-0.78-r0.apk2025-03-19 14:13 25K 
[   ]py3-dominate-2.9.1-r1.apk2024-10-25 22:34 25K 
[   ]py3-mopidy-tidal-0.3.2-r6.apk2024-10-25 22:34 25K 
[   ]py3-modbus-tk-1.1.1-r4.apk2024-10-25 22:34 25K 
[   ]smplxmpp-doc-0.9.3-r4.apk2024-12-18 12:42 25K 
[   ]py3-queuelib-pyc-1.7.0-r0.apk2024-10-25 22:34 25K 
[   ]vfd-configurations-0_git20230612-r0.apk2024-10-25 22:36 25K 
[   ]pnmixer-lang-0.7.2-r3.apk2024-10-25 22:34 25K 
[   ]php85-xml-8.5.0_alpha1-r0.apk2025-07-02 19:08 25K 
[   ]perl-promise-xs-0.20-r2.apk2025-06-30 09:03 25K 
[   ]ruby-ruby-progressbar-1.13.0-r0.apk2025-07-06 20:54 25K 
[   ]hilbish-doc-2.3.4-r6.apk2025-07-10 21:44 25K 
[   ]brltty-static-6.7-r1.apk2025-03-28 17:12 25K 
[   ]snapper-doc-0.12.2-r0.apk2025-05-06 14:27 25K 
[   ]py3-json5-0.9.25-r0.apk2024-11-30 21:49 25K 
[   ]libglib-testing-doc-0.1.1-r0.apk2025-05-08 13:05 25K 
[   ]ocaml-pbkdf-dev-1.2.0-r2.apk2024-10-25 22:33 25K 
[   ]php81-ftp-8.1.33-r0.apk2025-07-03 00:13 25K 
[   ]php85-dba-8.5.0_alpha1-r0.apk2025-07-02 19:08 25K 
[   ]ocaml-trie-dev-1.0.0-r2.apk2024-10-25 22:33 25K 
[   ]olsrd-doc-0.9.8-r3.apk2024-10-25 22:33 25K 
[   ]tree-sitter-hcl-1.2.0-r0.apk2025-06-19 02:16 25K 
[   ]php81-pecl-mailparse-3.1.8-r0.apk2024-10-25 22:34 25K 
[   ]smile-lang-2.10.1-r0.apk2025-04-09 00:18 25K 
[   ]perl-catalyst-plugin-session-doc-0.43-r0.apk2025-04-04 13:57 25K 
[   ]php81-common-8.1.33-r0.apk2025-07-03 00:13 25K 
[   ]perl-mojo-redis-3.29-r0.apk2024-10-25 22:34 25K 
[   ]lshell-doc-0.9.18-r11.apk2024-10-25 22:32 25K 
[   ]opkg-utils-0.7.0-r0.apk2024-10-25 22:34 25K 
[   ]thunarx-python-doc-0.5.2-r2.apk2024-10-25 22:36 25K 
[   ]py3-pockethernet-pyc-0.7.0-r4.apk2024-10-25 22:34 25K 
[   ]parcellite-doc-1.2.5-r0.apk2024-10-25 22:34 25K 
[   ]p0f-doc-3.09b-r3.apk2024-10-25 22:34 25K 
[   ]perl-catalyst-action-rest-1.21-r0.apk2025-03-31 16:53 25K 
[   ]py3-nmap-pyc-0.7.1-r4.apk2024-10-25 22:34 25K 
[   ]py3-pysrt-1.1.2-r5.apk2025-05-14 20:13 25K 
[   ]py3-truststore-pyc-0.10.1-r0.apk2025-02-10 08:29 26K 
[   ]perl-promise-me-0.5.0-r0.apk2024-10-25 22:34 26K 
[   ]ssh-tools-1.8-r0.apk2024-10-25 22:36 26K 
[   ]py3-manuel-pyc-1.13.0-r0.apk2024-11-30 21:14 26K 
[   ]php81-zip-8.1.33-r0.apk2025-07-03 00:13 26K 
[   ]perl-number-tolerant-doc-1.710-r0.apk2024-10-25 22:34 26K 
[   ]zarchive-libs-0.1.2-r2.apk2024-10-25 22:37 26K 
[   ]py3-snapshottest-pyc-0.6.0-r5.apk2024-10-25 22:34 26K 
[   ]khronos-lang-4.0.1-r0.apk2024-10-25 22:31 26K 
[   ]py3-flask-mailman-pyc-1.1.1-r0.apk2024-10-25 22:34 26K 
[   ]gpscorrelate-cli-2.3-r0.apk2025-03-27 07:36 26K 
[   ]lomiri-location-service-lang-3.3.0-r4.apk2025-06-26 16:20 26K 
[   ]caja-gtkhash-plugin-1.5-r0.apk2025-03-10 19:17 26K 
[   ]ruby-ansi-1.5.0-r0.apk2025-07-06 20:54 26K 
[   ]lomiri-url-dispatcher-lang-0.1.4-r0.apk2025-05-09 19:32 26K 
[   ]py3-asif-pyc-0.3.2-r3.apk2024-10-25 22:34 26K 
[   ]py3-pyroma-pyc-4.2-r0.apk2024-10-25 22:34 26K 
[   ]py3-milc-1.9.1-r0.apk2025-01-25 17:04 26K 
[   ]perl-ryu-4.001-r0.apk2024-10-25 22:34 26K 
[   ]deviced-dev-0_git20250427-r0.apk2025-07-05 22:04 26K 
[   ]perl-conf-libconfig-1.0.3-r2.apk2025-06-30 09:03 26K 
[   ]sregex-dev-0.0.1-r1.apk2024-10-25 22:36 26K 
[   ]tnef-1.4.18-r0.apk2024-10-25 22:36 26K 
[   ]mirrorhall-0.1.1-r1.apk2025-01-14 14:34 26K 
[   ]wl-kbptr-0.3.0-r2.apk2025-07-07 09:09 26K 
[   ]perl-rxperl-6.29.8-r0.apk2024-10-25 22:34 26K 
[   ]py3-sqlmodel-0.0.22-r1.apk2024-12-07 00:05 26K 
[   ]ip2location-8.6.1-r0.apk2024-10-25 22:31 26K 
[   ]php81-odbc-8.1.33-r0.apk2025-07-03 00:13 26K 
[   ]py3-poetry-dynamic-versioning-pyc-1.9.0-r0.apk2025-07-06 00:57 26K 
[   ]dehydrated-0.7.1-r0.apk2024-10-25 22:30 26K 
[   ]sfwbar-doc-1.0_beta16-r1.apk2024-12-12 20:02 26K 
[   ]py3-dunamai-1.24.1-r0.apk2025-06-30 00:32 27K 
[   ]py3-soapy_power-pyc-1.6.1-r5.apk2024-10-25 22:34 27K 
[   ]py3-flask-limiter-3.10.1-r0.apk2025-01-19 18:09 27K 
[   ]charls-dev-2.4.2-r0.apk2024-10-25 22:29 27K 
[   ]py3-feedgenerator-pyc-2.1.0-r2.apk2024-10-25 22:34 27K 
[   ]php81-gmp-8.1.33-r0.apk2025-07-03 00:13 27K 
[   ]perl-clipboard-doc-0.32-r0.apk2025-05-18 17:45 27K 
[   ]debconf-doc-1.5.82-r0.apk2024-10-25 22:30 27K 
[   ]nvim-web-devicons-0.100_git20241011-r0.apk2024-11-11 04:58 27K 
[   ]cellbroadcastd-libs-0.0.2-r0.apk2025-06-27 13:15 27K 
[   ]nm-tray-lang-0.5.0-r0.apk2024-10-25 22:33 27K 
[   ]ocaml-duration-0.2.0-r2.apk2024-10-25 22:33 27K 
[   ]py3-mbedtls-pyc-2.10.1-r3.apk2025-05-29 13:59 27K 
[   ]py3-evohome-client-pyc-0.3.7-r4.apk2024-10-25 22:34 27K 
[   ]perl-catalyst-action-rest-doc-1.21-r0.apk2025-03-31 16:53 27K 
[   ]arc-dark-gnome-20221218-r0.apk2024-10-25 22:29 27K 
[   ]sipgrep-2.2.0-r1.apk2024-10-25 22:36 27K 
[   ]gtkwave-doc-3.3.120-r0.apk2024-10-25 22:31 27K 
[   ]php85-pdo_pgsql-8.5.0_alpha1-r0.apk2025-07-02 19:08 27K 
[   ]vcsh-doc-2.0.5-r0.apk2024-10-25 22:36 27K 
[   ]wol-0.7.1-r3.apk2024-10-25 22:37 27K 
[   ]lomiri-indicator-location-lang-25.4.22-r0.apk2025-05-19 14:36 27K 
[   ]e16-doc-1.0.30-r0.apk2024-11-05 14:22 27K 
[   ]bmk-0.1-r0.apk2025-06-21 09:06 27K 
[   ]libnxml-dev-0.18.3-r0.apk2024-10-25 22:32 27K 
[   ]admesh-0.98.5-r0.apk2024-10-25 22:29 27K 
[   ]femto-doc-2.21.7-r0.apk2025-06-19 08:37 27K 
[   ]php81-pecl-msgpack-3.0.0-r0.apk2024-10-25 22:34 27K 
[   ]py3-dataclasses-json-0.6.7-r0.apk2024-10-25 22:34 27K 
[   ]rvlprog-0.91-r2.apk2024-10-25 22:35 27K 
[   ]perl-sql-abstract-more-1.43-r0.apk2024-12-30 07:37 27K 
[   ]perl-gearman-2.004.015-r3.apk2024-10-25 22:34 27K 
[   ]geoclue-stumbler-0.2.0-r0.apk2024-12-31 13:56 28K 
[   ]elementary-dock-lang-8.0.2-r0.apk2025-05-25 00:57 28K 
[   ]ruby-hoe-4.2.2-r0.apk2025-07-06 20:54 28K 
[   ]py3-ecos-2.0.11-r4.apk2024-10-25 22:34 28K 
[   ]py3-timeago-pyc-1.0.16-r0.apk2024-10-25 22:34 28K 
[   ]lomiri-trust-store-lang-2.0.2-r10.apk2025-06-28 19:26 28K 
[   ]postgresql-hll-2.18-r0.apk2024-10-25 22:34 28K 
[   ]py3-bidict-0.23.1-r1.apk2024-10-25 22:34 28K 
[   ]py3-proxmoxer-pyc-2.2.0-r0.apk2024-12-16 12:36 28K 
[   ]contractor-0.3.5-r0.apk2024-11-12 22:54 28K 
[   ]tayga-0.9.5-r0.apk2025-06-20 09:58 28K 
[   ]py3-mopidy-local-3.3.0-r0.apk2025-01-01 23:12 28K 
[   ]dcnnt-0.10.0-r1.apk2024-10-25 22:30 28K 
[   ]fungw-python3-1.2.1-r0.apk2024-12-30 10:48 28K 
[   ]perl-nice-try-1.3.16-r0.apk2025-05-01 07:50 28K 
[   ]raku-if-0.1.3-r0.apk2025-06-07 09:42 28K 
[   ]py3-libmdbx-0.10.2-r7.apk2024-10-25 22:34 28K 
[   ]merlin-vim-4.14-r0.apk2024-10-25 22:32 28K 
[   ]ostui-doc-1.0.3-r2.apk2025-05-12 06:04 28K 
[   ]octoprint-filecheck-2024.3.27-r1.apk2024-10-25 22:33 28K 
[   ]ecos-dev-2.0.10-r0.apk2024-10-25 22:30 28K 
[   ]randrctl-1.10.0-r0.apk2024-11-17 22:22 28K 
[   ]simpleble-dev-0.10.3-r0.apk2025-07-11 04:17 28K 
[   ]eclib-doc-20250627-r0.apk2025-06-28 08:13 28K 
[   ]shadowsocks-libev-doc-3.3.5-r4.apk2024-10-25 22:36 28K 
[   ]trigger-rally-doc-0.6.7-r3.apk2025-03-25 16:17 28K 
[   ]mimeo-2023-r2.apk2024-10-25 22:32 28K 
[   ]php85-ftp-8.5.0_alpha1-r0.apk2025-07-02 19:08 28K 
[   ]tre-0.8.0-r2.apk2024-10-25 22:36 28K 
[   ]py3-wsgiprox-pyc-1.5.2-r1.apk2024-10-25 22:34 28K 
[   ]py3-helper-pyc-2.5.0-r5.apk2024-10-25 22:34 28K 
[   ]perl-math-int64-0.57-r2.apk2025-06-30 09:03 28K 
[   ]ocaml-mirage-clock-4.2.0-r2.apk2024-10-25 22:33 28K 
[   ]gcli-doc-2.6.1-r0.apk2025-01-26 18:29 28K 
[   ]libwbxml-doc-0.11.8-r0.apk2024-10-25 22:32 28K 
[   ]libtsm-4.1.0-r0.apk2025-07-08 07:56 28K 
[   ]perl-devel-repl-1.003029-r0.apk2025-04-24 16:50 28K 
[   ]perl-mojolicious-plugin-openapi-5.11-r0.apk2025-03-19 14:13 28K 
[   ]throttled-pyc-0.10.0-r1.apk2024-12-15 20:23 28K 
[   ]rtptools-1.22-r2.apk2024-10-25 22:35 28K 
[   ]lua5.1-lcurses-9.0.0-r0.apk2024-10-25 22:32 28K 
[   ]perl-xml-bare-0.53-r14.apk2025-06-30 09:03 28K 
[   ]mkdocs-bootstrap-1.1.1-r2.apk2024-10-25 22:32 29K 
[   ]merlin-emacs-4.14-r0.apk2024-10-25 22:32 29K 
[   ]perl-ffi-c-doc-0.15-r0.apk2024-10-25 22:34 29K 
[   ]arc-gnome-20221218-r0.apk2024-10-25 22:29 29K 
[   ]perl-web-machine-doc-0.17-r0.apk2025-06-13 20:17 29K 
[   ]py3-bidict-pyc-0.23.1-r1.apk2024-10-25 22:34 29K 
[   ]lxqt-wayland-session-doc-0.2.0-r0.apk2025-05-25 00:16 29K 
[   ]py3-rospkg-1.2.9-r5.apk2024-10-25 22:34 29K 
[   ]libaudec-0.3.4-r3.apk2024-10-25 22:32 29K 
[   ]libirecovery-1.2.1-r0.apk2024-10-30 23:45 29K 
[   ]emacs-powerline-2.4_git20221110-r0.apk2024-10-25 22:30 29K 
[   ]py3-zipfile2-pyc-0.0.12-r0.apk2024-10-25 22:34 29K 
[   ]php81-sodium-8.1.33-r0.apk2025-07-03 00:13 29K 
[   ]cliquer-libs-1.22-r2.apk2024-10-25 22:30 29K 
[   ]libaudec-tools-0.3.4-r3.apk2024-10-25 22:32 29K 
[   ]perl-database-async-doc-0.019-r0.apk2024-10-25 22:34 29K 
[   ]bump2version-pyc-1.0.1-r6.apk2024-10-25 22:29 29K 
[   ]libcli-1.10.7-r0.apk2024-10-25 22:32 29K 
[   ]py3-altgraph-pyc-0.17.4-r1.apk2024-10-25 22:34 29K 
[   ]fakeroot-tcp-1.32.1-r1.apk2024-10-25 22:30 29K 
[   ]libguestfs-dev-1.52.0-r3.apk2025-06-13 16:01 29K 
[   ]fpp-0.9.5-r0.apk2024-10-25 22:30 29K 
[   ]octoprint-firmwarecheck-2021.10.11-r2.apk2024-10-25 22:33 29K 
[   ]py3-aiodocker-0.21.0-r1.apk2024-10-25 22:34 29K 
[   ]fatback-1.3-r2.apk2024-10-25 22:30 29K 
[   ]libxml++-dev-5.4.0-r0.apk2025-02-13 08:47 29K 
[   ]py3-json5-pyc-0.9.25-r0.apk2024-11-30 21:49 29K 
[   ]py3-pymata-pyc-2.20-r4.apk2024-10-25 22:34 29K 
[   ]jdupes-1.28.0-r0.apk2024-10-25 22:31 29K 
[   ]lrcalc-libs-2.1-r1.apk2024-10-25 22:32 29K 
[   ]perl-algorithm-backoff-doc-0.010-r0.apk2024-10-25 22:34 29K 
[   ]py3-liblarch-3.2.0-r6.apk2024-12-08 22:41 30K 
[   ]perl-sql-abstract-classic-1.91-r1.apk2024-10-25 22:34 30K 
[   ]ocaml-ca-certs-0.2.2-r2.apk2024-10-25 22:33 30K 
[   ]py3-gtkspellcheck-pyc-5.0.3-r0.apk2024-12-07 21:32 30K 
[   ]lomiri-download-manager-lang-0.2.1-r0.apk2025-04-15 03:17 30K 
[   ]py3-aiosasl-0.5.0-r4.apk2024-10-25 22:34 30K 
[   ]php81-pecl-ssh2-1.4.1-r0.apk2024-10-25 22:34 30K 
[   ]materia-light-kde-kvantum-20220823-r0.apk2024-10-25 22:32 30K 
[   ]py3-spotipy-2.24.0-r2.apk2025-05-14 20:13 30K 
[   ]soapy-hackrf-0.3.4-r2.apk2024-10-25 22:36 30K 
[   ]rdrview-0.1.3-r0.apk2025-02-22 20:22 30K 
[   ]slidge-matridge-0.1.0-r0.apk2024-10-25 22:36 30K 
[   ]it87-src-1_p20240609-r0.apk2024-10-25 22:31 30K 
[   ]droidcam-gui-2.1.3-r1.apk2024-11-08 22:25 30K 
[   ]lomiri-indicator-location-25.4.22-r0.apk2025-05-19 14:36 30K 
[   ]ocaml-lwt_ssl-1.2.0-r0.apk2024-10-25 22:33 30K 
[   ]zita-njbridge-0.4.8-r1.apk2024-10-25 22:37 30K 
[   ]lomiri-location-service-dev-3.3.0-r4.apk2025-06-26 16:20 30K 
[   ]py3-sphinx-theme-epfl-1.1.1-r9.apk2024-10-25 22:34 30K 
[   ]a2jmidid-9-r3.apk2024-10-25 22:29 30K 
[   ]py3-livestream-pyc-2.1.0-r0.apk2024-11-25 23:22 30K 
[   ]py3-svglib-1.5.1-r0.apk2025-06-10 20:51 30K 
[   ]py3-pyte-0.8.2-r3.apk2025-05-12 23:26 30K 
[   ]materia-kde-kvantum-20220823-r0.apk2024-10-25 22:32 30K 
[   ]libcork-dev-0.15.0-r7.apk2024-10-25 22:32 30K 
[   ]materia-dark-kde-kvantum-20220823-r0.apk2024-10-25 22:32 30K 
[   ]py3-pytest-regtest-pyc-2.3.2-r1.apk2025-02-14 16:28 30K 
[   ]musikcube-plugin-openmpt-3.0.4-r1.apk2025-01-26 20:32 30K 
[   ]py3-spake2-0.9-r0.apk2024-10-25 22:34 30K 
[   ]crossplane-0.5.8-r3.apk2024-10-25 22:30 30K 
[   ]tre-static-0.8.0-r2.apk2024-10-25 22:36 30K 
[   ]ffms2-doc-5.0-r0.apk2024-10-25 22:30 30K 
[   ]perl-statistics-descriptive-3.0801-r0.apk2024-10-25 22:34 30K 
[   ]par-doc-1.53.0-r1.apk2024-10-25 22:34 30K 
[   ]swappy-1.5.1-r0.apk2024-10-25 22:36 30K 
[   ]py3-libnacl-pyc-2.1.0-r1.apk2024-10-25 22:34 30K 
[   ]curtail-1.13.0-r0.apk2025-07-05 22:40 30K 
[   ]py3-gevent-websocket-pyc-0.10.1-r8.apk2024-10-25 22:34 30K 
[   ]perl-file-mmagic-xs-0.09008-r5.apk2025-06-30 09:03 30K 
[   ]ocaml-mirage-profile-dev-0.9.1-r3.apk2024-10-25 22:33 30K 
[   ]ttfautohint-1.8.4-r0.apk2024-10-25 22:36 30K 
[   ]php85-odbc-8.5.0_alpha1-r0.apk2025-07-02 19:08 30K 
[   ]ldapdomaindump-pyc-0.9.4-r2.apk2025-05-29 13:59 30K 
[   ]gsettings-qt-1.0.0-r0.apk2025-03-19 14:12 30K 
[   ]libmrss-dev-0.19.2-r1.apk2024-10-25 22:32 31K 
[   ]dwl-0.7-r0.apk2024-10-25 22:30 31K 
[   ]jack_capture-0.9.73_git20210429-r2.apk2024-10-25 22:31 31K 
[   ]ocaml-hex-1.5.0-r2.apk2024-10-25 22:33 31K 
[   ]ocp-index-doc-1.3.6-r0.apk2024-10-25 22:33 31K 
[   ]libsigrok-dev-0.5.2-r3.apk2024-10-25 22:32 31K 
[   ]ovos-gui-1.1.0-r0.apk2024-11-25 13:47 31K 
[   ]blip-doc-0.10-r0.apk2024-10-25 22:29 31K 
[   ]dnscrypt-wrapper-0.4.2-r3.apk2024-10-25 22:30 31K 
[   ]py3-rich-click-1.7.3-r1.apk2024-10-25 22:34 31K 
[   ]lxappearance-0.6.3-r3.apk2024-10-25 22:32 31K 
[   ]paprefs-1.2-r2.apk2024-11-23 00:40 31K 
[   ]jbigkit-dev-2.1-r2.apk2024-10-25 22:31 31K 
[   ]py3-pymata4-pyc-1.15-r4.apk2024-10-25 22:34 31K 
[   ]octoprint-pisupport-2023.10.10-r1.apk2024-10-25 22:33 31K 
[   ]primecount-7.17-r0.apk2025-05-16 16:32 31K 
[   ]perl-time-moment-doc-0.44-r1.apk2025-06-30 09:03 31K 
[   ]musikcube-plugin-supereqdsp-3.0.4-r1.apk2025-01-26 20:32 31K 
[   ]pounce-3.1-r3.apk2024-10-25 22:34 31K 
[   ]qoiconv-0.0.0_git20230312-r0.apk2024-10-25 22:34 31K 
[   ]py3-telemetrix-pyc-1.20-r3.apk2024-10-25 22:34 31K 
[   ]libbamf-doc-0.5.6-r1.apk2024-10-25 22:32 31K 
[   ]plplot-5.15.0-r2.apk2024-10-25 22:34 31K 
[   ]randrctl-pyc-1.10.0-r0.apk2024-11-17 22:22 31K 
[   ]py3-sphinx-autoapi-3.6.0-r0.apk2025-02-18 23:20 31K 
[   ]php85-sodium-8.5.0_alpha1-r0.apk2025-07-02 19:08 31K 
[   ]rtmidi-6.0.0-r0.apk2024-10-25 22:35 31K 
[   ]ccrtp-doc-2.1.2-r0.apk2024-10-25 22:29 31K 
[   ]php85-zip-8.5.0_alpha1-r0.apk2025-07-02 19:08 31K 
[   ]libaudec-static-0.3.4-r3.apk2024-10-25 22:32 31K 
[   ]nvim-treesitter-doc-0.10.0-r0.apk2025-06-01 17:50 31K 
[   ]php85-bcmath-8.5.0_alpha1-r0.apk2025-07-02 19:08 31K 
[   ]lizardfs-cgi-3.13.0-r17.apk2025-06-19 11:30 31K 
[   ]tang-dbg-15-r0.apk2025-01-20 05:17 31K 
[   ]createrepo_c-dev-1.1.4-r0.apk2024-10-25 22:30 31K 
[   ]libm4ri-dev-20240729-r2.apk2025-01-15 18:59 32K 
[   ]perl-git-repository-doc-1.325-r0.apk2024-10-25 22:34 32K 
[   ]py3-radon-6.0.1-r2.apk2024-10-25 22:34 32K 
[   ]php83-pecl-eio-3.1.3-r0.apk2024-10-25 22:34 32K 
[   ]py3-pymeta3-pyc-0.5.1-r6.apk2024-10-25 22:34 32K 
[   ]php82-pecl-runkit7-4.0.0_alpha6-r1.apk2024-10-25 22:34 32K 
[   ]py3-pymaging-pyc-0.0.20130908-r10.apk2024-10-25 22:34 32K 
[   ]mnamer-2.5.5-r1.apk2024-10-25 22:32 32K 
[   ]php81-ldap-8.1.33-r0.apk2025-07-03 00:13 32K 
[   ]jedi-language-server-0.45.1-r0.apk2025-04-29 00:57 32K 
[   ]kdiskmark-lang-3.2.0-r0.apk2025-06-30 18:46 32K 
[   ]unit-php81-1.34.2-r1.apk2025-04-24 02:44 32K 
[   ]unit-php85-1.34.2-r0.apk2025-07-02 20:51 32K 
[   ]py3-nptyping-pyc-2.5.0-r3.apk2024-10-25 22:34 32K 
[   ]libsymmetrica-dev-3.0.1-r2.apk2024-10-25 22:32 32K 
[   ]lomiri-api-dev-0.2.2-r1.apk2025-02-14 16:28 32K 
[   ]py3-c3d-0.5.2-r1.apk2024-10-25 22:34 32K 
[   ]btfs-2.24-r12.apk2024-10-25 22:29 32K 
[   ]libqtdbustest-0.3.3-r1.apk2025-02-14 16:28 32K 
[   ]perl-catalyst-plugin-authentication-0.10024-r0.apk2025-03-29 09:34 32K 
[   ]py3-pysonic-pyc-1.0.3-r0.apk2025-04-17 00:36 32K 
[   ]py3-yapsy-1.12.2-r7.apk2024-10-25 22:34 32K 
[   ]php81-pecl-luasandbox-4.1.2-r0.apk2024-10-25 22:34 32K 
[   ]py3-furl-pyc-2.1.3-r4.apk2024-10-25 22:34 32K 
[   ]handlebars-dev-1.0.0-r1.apk2024-10-25 22:31 32K 
[   ]startup-bridge-udev-2.0.3-r5.apk2024-10-25 22:36 32K 
[   ]perl-expect-1.38-r0.apk2025-04-19 21:01 32K 
[   ]libfort-0.4.2-r0.apk2024-10-25 22:32 32K 
[   ]py3-lunr-0.6.2-r4.apk2024-10-25 22:34 32K 
[   ]py3-senf-pyc-1.5.0-r0.apk2025-06-27 04:59 32K 
[   ]py3-django-suit-pyc-0.2.28-r8.apk2024-10-25 22:34 32K 
[   ]py3-euclid3-pyc-0.01-r8.apk2024-10-25 22:34 33K 
[   ]perl-css-object-doc-0.2.0-r0.apk2024-10-25 22:34 33K 
[   ]ocaml-ezxmlm-1.1.0-r0.apk2024-10-25 22:33 33K 
[   ]py3-ffmpeg-pyc-0.2.0-r5.apk2025-05-14 20:13 33K 
[   ]linuxptp-nsm-4.4-r0.apk2024-11-17 18:17 33K 
[   ]ngs-aws-0.2.14-r0.apk2024-10-25 22:33 33K 
[   ]py3-qt.py-1.3.10-r1.apk2024-10-25 22:34 33K 
[   ]perl-opentracing-doc-1.006-r0.apk2024-10-25 22:34 33K 
[   ]startup-bridge-dconf-2.0.3-r5.apk2024-10-25 22:36 33K 
[   ]py3-libmdbx-pyc-0.10.2-r7.apk2024-10-25 22:34 33K 
[   ]hddfancontrol-1.6.2-r0.apk2024-10-25 22:31 33K 
[   ]perl-barcode-zbar-0.10-r4.apk2025-06-30 09:03 33K 
[   ]php83-pecl-zmq-1.1.4-r0.apk2024-10-25 22:34 33K 
[   ]cluster-glue-doc-1.0.12-r5.apk2024-10-25 22:30 33K 
[   ]refine-lang-0.5.10-r0.apk2025-07-02 15:18 33K 
[   ]tabby-3.1-r1.apk2024-10-25 22:36 33K 
[   ]perl-mastodon-client-doc-0.017-r0.apk2024-10-25 22:34 33K 
[   ]cliquer-static-1.22-r2.apk2024-10-25 22:30 33K 
[   ]tcl-curl-7.22.0-r0.apk2024-10-25 22:36 33K 
[   ]ocaml-mirage-clock-dev-4.2.0-r2.apk2024-10-25 22:33 33K 
[   ]urlwatch-doc-2.28-r2.apk2024-10-25 22:36 33K 
[   ]py3-hishel-0.1.3-r0.apk2025-07-09 01:07 33K 
[   ]dfl-sni-0.2.0-r0.apk2024-10-25 22:30 33K 
[   ]php81-imap-8.1.33-r0.apk2025-07-03 00:13 33K 
[   ]dfl-applications-0.2.0-r0.apk2024-10-25 22:30 33K 
[   ]obnc-doc-0.17.2-r0.apk2025-05-26 01:37 33K 
[   ]py3-pathvalidate-pyc-3.2.3-r0.apk2025-01-06 11:40 33K 
[   ]refine-0.5.10-r0.apk2025-07-02 15:18 33K 
[   ]perl-mojolicious-plugin-openapi-doc-5.11-r0.apk2025-03-19 14:13 33K 
[   ]spacenavd-1.2-r0.apk2024-10-25 22:36 33K 
[   ]py3-pltable-pyc-1.1.0-r1.apk2024-11-13 07:31 33K 
[   ]mm-common-doc-1.0.7-r0.apk2025-06-27 18:39 33K 
[   ]py3-limits-3.14.1-r0.apk2024-12-25 19:44 33K 
[   ]i3status-rust-doc-0.33.2-r0.apk2024-11-11 04:19 34K 
[   ]py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk2024-10-25 22:34 34K 
[   ]cellbroadcastd-dev-0.0.2-r0.apk2025-06-27 13:15 34K 
[   ]perl-lingua-stem-doc-2.31-r0.apk2025-06-08 18:40 34K 
[   ]py3-xapp-2.4.2-r0.apk2024-11-12 12:04 34K 
[   ]php85-ldap-8.5.0_alpha1-r0.apk2025-07-02 19:08 34K 
[   ]bgpq4-1.15-r0.apk2024-10-25 22:29 34K 
[   ]php81-pecl-igbinary-3.2.16-r0.apk2024-10-25 22:34 34K 
[   ]pptpclient-1.10.0-r6.apk2025-06-30 09:03 34K 
[   ]foolsm-1.0.21-r0.apk2024-10-25 22:30 34K 
[   ]py3-dominate-pyc-2.9.1-r1.apk2024-10-25 22:34 34K 
[   ]lomiri-calculator-app-lang-4.0.2-r1.apk2025-03-19 14:13 34K 
[   ]perl-json-validator-doc-5.15-r0.apk2025-03-19 14:13 34K 
[   ]ocaml-rresult-dev-0.7.0-r2.apk2024-10-25 22:33 34K 
[   ]py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk2024-10-25 22:34 34K 
[   ]aufs-util-doc-20161219-r3.apk2024-10-25 22:29 34K 
[   ]php85-pecl-igbinary-3.2.16-r0.apk2025-07-02 20:59 34K 
[   ]jhead-3.08-r0.apk2024-10-25 22:31 34K 
[   ]trantor-dev-1.5.18-r0.apk2024-10-25 22:36 34K 
[   ]py3-trivup-0.12.2-r2.apk2024-10-25 22:34 34K 
[   ]hddfancontrol-pyc-1.6.2-r0.apk2024-10-25 22:31 34K 
[   ]py3-mopidy-local-pyc-3.3.0-r0.apk2025-01-01 23:12 34K 
[   ]elementary-camera-lang-8.0.1-r0.apk2025-05-13 08:38 34K 
[   ]lavacli-doc-2.4-r0.apk2025-06-14 20:10 34K 
[   ]py3-piccata-pyc-2.0.3-r1.apk2024-10-25 22:34 34K 
[   ]qperf-0.4.11-r2.apk2025-05-12 23:26 34K 
[   ]lomiri-api-0.2.2-r1.apk2025-02-14 16:28 34K 
[   ]caffeine-ng-lang-4.2.0-r1.apk2024-10-25 22:29 34K 
[   ]sblim-sfcc-doc-2.2.8-r3.apk2024-10-25 22:35 35K 
[   ]usbmuxd-1.1.1-r9.apk2025-04-18 16:39 35K 
[   ]php85-exif-8.5.0_alpha1-r0.apk2025-07-02 19:08 35K 
[   ]raku-tinyfloats-0.0.5-r0.apk2025-06-07 09:42 35K 
[   ]py3-ovos-config-pyc-1.2.2-r0.apk2025-06-01 18:49 35K 
[   ]peg-0.1.18-r1.apk2024-10-25 22:34 35K 
[   ]pimd-doc-3.0_git20220201-r0.apk2024-10-25 22:34 35K 
[   ]py3-pysonic-1.0.3-r0.apk2025-04-17 00:36 35K 
[   ]nwg-displays-pyc-0.3.13-r1.apk2024-10-25 22:33 35K 
[   ]libserialport-dev-0.1.1-r1.apk2024-10-25 22:32 35K 
[   ]bcg729-1.1.1-r0.apk2024-10-25 22:29 35K 
[   ]vcstool-0.3.0-r5.apk2024-10-25 22:36 35K 
[   ]mint-x-theme-xfwm4-2.1.1-r0.apk2024-10-25 22:32 35K 
[   ]mat2-0.13.4-r3.apk2025-04-25 07:14 35K 
[   ]crazydiskinfo-1.1.0-r1.apk2024-10-25 22:30 35K 
[   ]jalv-gtk-1.6.8-r1.apk2024-10-25 22:31 35K 
[   ]dnsperf-doc-2.14.0-r0.apk2024-10-25 22:30 35K 
[   ]py3-latex2mathml-pyc-3.77.0-r1.apk2024-10-25 22:34 35K 
[   ]php81-curl-8.1.33-r0.apk2025-07-03 00:13 35K 
[   ]ruff-lsp-pyc-0.0.62-r0.apk2025-03-06 23:31 35K 
[   ]py3-pymaging-png-0.0.20130727-r10.apk2024-10-25 22:34 35K 
[   ]py3-pyautogui-0.9.53-r5.apk2024-10-25 22:34 35K 
[   ]srain-lang-1.8.1-r0.apk2025-06-26 20:41 35K 
[   ]php81-exif-8.1.33-r0.apk2025-07-03 00:13 35K 
[   ]oblibs-0.3.4.0-r0.apk2025-06-01 17:50 35K 
[   ]luapak-0.1.0_beta5-r0.apk2024-10-25 22:32 35K 
[   ]lshell-pyc-0.9.18-r11.apk2024-10-25 22:32 35K 
[   ]py3-cookiecutter-2.6.0-r1.apk2024-10-25 22:34 35K 
[   ]dfu-programmer-1.1.0-r0.apk2024-10-25 22:30 35K 
[   ]perl-ryu-doc-4.001-r0.apk2024-10-25 22:34 35K 
[   ]autoremove-torrents-1.5.5-r0.apk2024-10-25 22:29 35K 
[   ]py3-pillow_heif-pyc-0.18.0-r0.apk2024-10-25 22:34 36K 
[   ]ansible-bender-0.10.1-r2.apk2025-06-09 10:49 36K 
[   ]py3-tidalapi-0.7.4-r1.apk2024-10-25 22:34 36K 
[   ]razercfg-pyc-0.42-r7.apk2024-10-25 22:34 36K 
[   ]py3-mopidy-tidal-pyc-0.3.2-r6.apk2024-10-25 22:34 36K 
[   ]py3-dataclasses-json-pyc-0.6.7-r0.apk2024-10-25 22:34 36K 
[   ]litterbox-1.9-r1.apk2024-10-25 22:32 36K 
[   ]linuxptp-pmc-4.4-r0.apk2024-11-17 18:17 36K 
[   ]libretro-freeintv-0_git20220319-r0.apk2024-10-25 22:32 36K 
[   ]libmpfi-1.5.4-r2.apk2024-10-25 22:32 36K 
[   ]py3-pysubs2-1.8.0-r0.apk2024-12-25 23:09 36K 
[   ]py3-aesedb-0.1.6-r3.apk2025-05-29 13:59 36K 
[   ]py3-mando-pyc-0.7.1-r3.apk2024-10-25 22:34 36K 
[   ]gmcapsule-0.9.7-r0.apk2025-01-08 20:13 36K 
[   ]burp-server-3.1.4-r0.apk2024-10-25 22:29 36K 
[   ]tree-sitter-hare-0_git20230616-r1.apk2024-10-25 22:36 36K 
[   ]py3-bitstruct-8.19.0-r1.apk2024-10-25 22:34 36K 
[   ]lshell-0.9.18-r11.apk2024-10-25 22:32 36K 
[   ]py3-pyqrcode-1.2.1-r0.apk2024-10-25 22:34 37K 
[   ]reflex-doc-20241231-r0.apk2025-06-21 09:06 37K 
[   ]py3-mopidy-jellyfin-pyc-1.0.4-r4.apk2024-10-25 22:34 37K 
[   ]raku-json-name-0.0.7-r0.apk2025-06-07 09:42 37K 
[   ]php81-pecl-oauth-2.0.9-r0.apk2024-10-25 22:34 37K 
[   ]linuxptp-ts2phc-4.4-r0.apk2024-11-17 18:17 37K 
[   ]py3-redmine-2.5.0-r0.apk2024-10-25 22:34 37K 
[   ]emacs-epkg-3.3.3_git20240713-r0.apk2024-10-25 22:30 37K 
[   ]libmdf-1.0.29-r0.apk2024-10-25 22:32 37K 
[   ]perl-test-unit-0.27-r0.apk2024-10-25 22:34 37K 
[   ]py3-qasync-0.19.0-r2.apk2024-10-25 22:34 37K 
[   ]php82-pecl-oauth-2.0.9-r0.apk2024-10-25 22:34 37K 
[   ]py3-rpio-0.10.1-r8.apk2024-10-25 22:34 37K 
[   ]php83-pecl-oauth-2.0.9-r0.apk2024-10-25 22:34 37K 
[   ]php84-pecl-oauth-2.0.9-r0.apk2024-10-25 22:34 37K 
[   ]py3-astral-3.2-r3.apk2024-10-25 22:34 37K 
[   ]linuxptp-phc2sys-4.4-r0.apk2024-11-17 18:17 37K 
[   ]musikcube-plugin-taglibreader-3.0.4-r1.apk2025-01-26 20:32 37K 
[   ]kcbench-0.9.12-r0.apk2025-04-25 07:14 37K 
[   ]ocaml-gmap-0.3.0-r2.apk2024-10-25 22:33 37K 
[   ]capnet-assist-lang-8.0.0-r0.apk2025-04-15 03:16 37K 
[   ]perl-libapreq2-doc-2.17-r3.apk2025-06-30 09:03 37K 
[   ]raku-librarymake-1.0.5-r0.apk2025-06-08 18:40 37K 
[   ]libcork-0.15.0-r7.apk2024-10-25 22:32 37K 
[   ]py3-dexml-pyc-0.5.1-r9.apk2024-10-25 22:34 37K 
[   ]perl-math-random-0.72-r1.apk2025-06-30 09:03 37K 
[   ]perl-statistics-descriptive-doc-3.0801-r0.apk2024-10-25 22:34 38K 
[   ]arc-gtk2-20221218-r0.apk2024-10-25 22:29 38K 
[   ]php81-sockets-8.1.33-r0.apk2025-07-03 00:13 38K 
[   ]arc-lighter-gtk2-20221218-r0.apk2024-10-25 22:29 38K 
[   ]perl-protocol-database-postgresql-doc-2.001-r0.apk2024-10-25 22:34 38K 
[   ]spreadtrum_flash-1.20240815-r0.apk2025-05-08 13:05 38K 
[   ]py3-librtmp-0.3.0-r6.apk2024-10-25 22:34 38K 
[   ]tcl-curl-doc-7.22.0-r0.apk2024-10-25 22:36 38K 
[   ]py3-pure_protobuf-pyc-3.1.2-r0.apk2024-10-25 22:34 38K 
[   ]perl-path-dispatcher-doc-1.08-r0.apk2025-06-09 14:05 38K 
[   ]py3-sh-2.1.0-r0.apk2024-11-01 20:39 38K 
[   ]cocogitto-doc-6.3.0-r0.apk2025-03-21 20:28 38K 
[   ]libzrtpcpp-dev-4.7.0-r0.apk2025-01-04 22:55 38K 
[   ]php81-session-8.1.33-r0.apk2025-07-03 00:13 38K 
[   ]paprefs-lang-1.2-r2.apk2024-11-23 00:40 38K 
[   ]slidge-matridge-pyc-0.1.0-r0.apk2024-10-25 22:36 38K 
[   ]apache2-mod-perl-dev-2.0.13-r2.apk2025-06-30 09:03 38K 
[   ]lyrics-in-terminal-1.7.0-r0.apk2025-01-03 11:09 38K 
[   ]spnavcfg-1.1-r0.apk2024-10-25 22:36 38K 
[   ]logwatch-doc-7.10-r1.apk2024-10-25 22:32 38K 
[   ]php81-pecl-xmlrpc-1.0.0_rc3-r2.apk2024-10-25 22:34 38K 
[   ]ovos-gui-pyc-1.1.0-r0.apk2024-11-25 13:47 38K 
[   ]arc-dark-gtk2-20221218-r0.apk2024-10-25 22:29 38K 
[   ]xfce4-hamster-plugin-1.17-r0.apk2024-10-25 22:37 38K 
[   ]py3-fastdiff-0.3.0-r5.apk2024-10-25 22:34 38K 
[   ]linuxptp-doc-4.4-r0.apk2024-11-17 18:17 38K 
[   ]repo-doc-2.53-r0.apk2025-03-20 01:48 38K 
[   ]moon-buggy-1.0.51-r1.apk2024-10-25 22:32 39K 
[   ]arc-darker-gtk2-20221218-r0.apk2024-10-25 22:29 39K 
[   ]spampd-2.62-r0.apk2025-02-25 23:28 39K 
[   ]libctl-dev-4.5.1-r1.apk2024-10-25 22:32 39K 
[   ]ghc-filesystem-1.5.14-r0.apk2024-10-25 22:31 39K 
[   ]nfoview-2.1-r0.apk2025-04-15 03:17 39K 
[   ]libbsoncxx-dev-3.8.0-r0.apk2024-10-25 22:32 39K 
[   ]bakelite-0.4.2-r0.apk2024-10-25 22:29 39K 
[   ]py3-twiggy-pyc-0.5.1-r4.apk2024-10-25 22:34 39K 
[   ]csol-1.6.0-r0.apk2024-10-25 22:30 39K 
[   ]py3-python-iptables-1.0.1-r1.apk2024-10-25 22:34 39K 
[   ]gtk-session-lock-0.2.0-r0.apk2025-01-31 17:17 39K 
[   ]turnstile-0.1.10-r3.apk2024-10-25 22:36 39K 
[   ]py3-pygpgme-0.3.1-r9.apk2024-10-25 22:34 39K 
[   ]py3-recurring-ical-events-3.8.0-r0.apk2025-06-14 20:10 39K 
[   ]log4cpp-dev-1.1.4-r1.apk2024-10-25 22:32 39K 
[   ]sblg-0.5.11-r0.apk2024-10-25 22:35 39K 
[   ]ocaml-lwt-dllist-dev-1.0.1-r3.apk2024-10-25 22:33 39K 
[   ]libsigrokdecode-dev-0.5.3-r4.apk2024-10-25 22:32 39K 
[   ]theme.sh-1.1.5-r0.apk2024-10-25 22:36 39K 
[   ]linkchecker-doc-10.5.0-r0.apk2025-05-19 01:05 39K 
[   ]py3-manuel-1.13.0-r0.apk2024-11-30 21:14 39K 
[   ]py3-zope-configuration-5.0.1-r2.apk2024-10-25 22:34 39K 
[   ]gmenuharness-0.1.4-r2.apk2025-02-14 16:28 39K 
[   ]ocaml-rresult-0.7.0-r2.apk2024-10-25 22:33 39K 
[   ]py3-pyte-pyc-0.8.2-r3.apk2025-05-12 23:26 39K 
[   ]prjtrellis-db-machxo-0_git20230929-r0.apk2024-10-25 22:34 39K 
[   ]crossplane-pyc-0.5.8-r3.apk2024-10-25 22:30 39K 
[   ]py3-empy-3.3.4-r7.apk2024-10-25 22:34 39K 
[   ]66-tools-doc-0.1.2.0-r0.apk2025-06-01 17:50 39K 
[   ]perl-net-curl-doc-0.57-r1.apk2025-06-30 09:03 39K 
[   ]ocaml-ca-certs-dev-0.2.2-r2.apk2024-10-25 22:33 39K 
[   ]perl-www-noss-1.04-r0.apk2025-07-04 19:18 39K 
[   ]cddlib-tools-0.94m-r2.apk2024-10-25 22:29 40K 
[   ]wbg-1.2.0-r1.apk2025-05-14 20:13 40K 
[   ]py3-compdb-pyc-0.2.0-r8.apk2024-10-25 22:34 40K 
[   ]perl-www-noss-doc-1.04-r0.apk2025-07-04 19:18 40K 
[   ]wput-0.6.2-r4.apk2024-10-25 22:37 40K 
[   ]py3-fpdf-1.7.2-r5.apk2024-10-25 22:34 40K 
[   ]lomiri-url-dispatcher-0.1.4-r0.apk2025-05-09 19:32 40K 
[   ]extundelete-0.2.4-r1.apk2024-10-25 22:30 40K 
[   ]libtcmu-1.6.0-r6.apk2024-10-25 22:32 40K 
[   ]alttab-1.7.1-r0.apk2024-10-25 22:29 40K 
[   ]raku-shell-command-1.1-r0.apk2025-06-08 18:40 40K 
[   ]sigrok-cli-0.7.2-r0.apk2024-10-25 22:36 40K 
[   ]py3-feedgen-1.0.0-r1.apk2024-10-25 22:34 40K 
[   ]py3-pyzor-1.0.0-r11.apk2024-10-25 22:34 40K 
[   ]py3-bibtexparser-1.4.3-r0.apk2025-01-19 12:58 40K 
[   ]php81-pecl-rdkafka-6.0.5-r0.apk2024-11-04 13:52 40K 
[   ]perl-http-headers-actionpack-doc-0.09-r0.apk2025-06-13 20:17 40K 
[   ]py3-rich-click-pyc-1.7.3-r1.apk2024-10-25 22:34 40K 
[   ]py3-unearth-0.17.5-r0.apk2025-04-11 07:33 40K 
[   ]py3-pyvcd-pyc-0.4.1-r0.apk2024-11-11 22:46 40K 
[   ]py3-flask-restless-0.17.0-r9.apk2024-10-25 22:34 40K 
[   ]pebble-le-dev-0.3.0-r2.apk2024-12-14 20:04 41K 
[   ]tree-sitter-yaml-0.7.1-r0.apk2025-05-23 07:05 41K 
[   ]youtube-viewer-doc-3.11.6-r0.apk2025-06-09 07:05 41K 
[   ]sndfile-tools-1.5-r1.apk2024-10-25 22:36 41K 
[   ]py3-ward-0.67.0_beta0-r2.apk2024-10-25 22:34 41K 
[   ]gf2x-1.3.0-r1.apk2024-10-25 22:31 41K 
[   ]php81-pecl-immutable_cache-6.1.0-r0.apk2024-10-25 22:34 41K 
[   ]py3-irc-20.4.1-r0.apk2024-10-25 22:34 41K 
[   ]php85-session-8.5.0_alpha1-r0.apk2025-07-02 19:08 41K 
[   ]php82-pecl-immutable_cache-6.1.0-r0.apk2024-10-25 22:34 41K 
[   ]py3-sqlmodel-pyc-0.0.22-r1.apk2024-12-07 00:05 41K 
[   ]raku-cro-tls-0.8.10-r0.apk2025-06-07 09:42 41K 
[   ]peervpn-0.044-r5.apk2024-10-25 22:34 41K 
[   ]imapfilter-2.8.2-r0.apk2024-10-25 22:31 41K 
[   ]varnish-modules-0.26.0-r0.apk2025-07-06 20:54 41K 
[   ]yices2-dev-2.6.5-r0.apk2025-03-03 01:04 41K 
[   ]py3-milc-pyc-1.9.1-r0.apk2025-01-25 17:04 41K 
[   ]mimeo-pyc-2023-r2.apk2024-10-25 22:32 42K 
[   ]py3-pytaglib-3.0.0-r0.apk2025-01-26 20:32 42K 
[   ]gupnp-av-dev-0.14.4-r0.apk2025-06-29 01:49 42K 
[   ]imediff-2.6-r1.apk2024-10-25 22:31 42K 
[   ]litehtml-dev-0.9-r2.apk2025-02-14 16:28 42K 
[   ]php81-mysqli-8.1.33-r0.apk2025-07-03 00:13 42K 
[   ]mergerfs-doc-2.40.2-r1.apk2024-10-25 22:32 42K 
[   ]azpainter-doc-3.0.11-r0.apk2025-02-22 12:10 42K 
[   ]avra-1.4.2-r0.apk2024-10-25 22:29 42K 
[   ]hub-doc-2.14.2-r32.apk2025-07-10 21:45 42K 
[   ]libqofono-dev-0.124-r0.apk2025-01-10 13:02 42K 
[   ]perl-email-sender-doc-2.601-r0.apk2025-04-04 10:55 42K 
[   ]openfortivpn-1.22.1-r0.apk2024-12-11 22:41 42K 
[   ]py3-pyparted-pyc-3.13.0-r1.apk2024-10-25 22:34 42K 
[   ]git-revise-pyc-0.7.0-r5.apk2024-10-25 22:31 42K 
[   ]py3-seqdiag-pyc-3.0.0-r5.apk2024-10-25 22:34 42K 
[   ]moosefs-metalogger-4.56.6-r2.apk2025-06-19 11:30 42K 
[   ]py3-openwisp-utils-pyc-1.0.4-r4.apk2024-10-25 22:34 42K 
[   ]php85-curl-8.5.0_alpha1-r0.apk2025-07-02 19:08 42K 
[   ]libfyaml-dev-0.9-r0.apk2024-10-25 22:32 42K 
[   ]sflowtool-6.02-r0.apk2024-10-25 22:36 42K 
[   ]py3-svglib-pyc-1.5.1-r0.apk2025-06-10 20:51 42K 
[   ]nuklear-doc-4.12.0-r0.apk2024-10-25 22:33 42K 
[   ]py3-colander-pyc-2.0-r2.apk2024-10-25 22:34 42K 
[   ]perl-cgi-simple-doc-1.281-r0.apk2025-03-19 14:13 43K 
[   ]php81-pdo-8.1.33-r0.apk2025-07-03 00:13 43K 
[   ]plfit-libs-1.0.1-r0.apk2025-01-04 04:47 43K 
[   ]rygel-dev-0.44.2-r0.apk2025-03-19 14:13 43K 
[   ]ocaml-mirage-kv-dev-4.0.1-r3.apk2024-10-25 22:33 43K 
[   ]py3-isbnlib-3.10.14-r0.apk2025-01-19 12:58 43K 
[   ]rtaudio-6.0.1-r0.apk2025-04-18 01:03 43K 
[   ]py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk2024-10-25 22:34 43K 
[   ]flatseal-2.3.1-r0.apk2025-06-19 17:30 43K 
[   ]ecos-2.0.10-r0.apk2024-10-25 22:30 43K 
[   ]py3-bookkeeper-4.17.1-r0.apk2024-10-25 22:34 43K 
[   ]ocaml-duration-dev-0.2.0-r2.apk2024-10-25 22:33 43K 
[   ]granite7-dev-7.5.0-r0.apk2024-10-25 22:31 43K 
[   ]perl-extutils-xsbuilder-0.28-r5.apk2024-10-25 22:34 43K 
[   ]py3-cdio-pyc-2.1.1-r6.apk2025-01-20 21:42 43K 
[   ]lomiri-libusermetrics-lang-1.3.3-r1.apk2025-02-14 16:28 43K 
[   ]kismet-nrf-51822-0.202307.1-r8.apk2025-06-12 15:21 43K 
[   ]py3-coreapi-pyc-2.3.3-r9.apk2024-10-25 22:34 43K 
[   ]php85-sockets-8.5.0_alpha1-r0.apk2025-07-02 19:08 43K 
[   ]py3-dunamai-pyc-1.24.1-r0.apk2025-06-30 00:32 43K 
[   ]ocaml-happy-eyeballs-lwt-0.3.0-r3.apk2024-10-25 22:33 43K 
[   ]emacs-avy-0.5.0_git20230420-r0.apk2024-10-25 22:30 43K 
[   ]py3-spake2-pyc-0.9-r0.apk2024-10-25 22:34 44K 
[   ]rhasspy-nlu-0.4.0-r3.apk2024-10-25 22:34 44K 
[   ]liberasurecode-1.6.3-r1.apk2024-10-25 22:32 44K 
[   ]perl-net-xmpp-doc-1.05-r0.apk2024-10-25 22:34 44K 
[   ]imediff-pyc-2.6-r1.apk2024-10-25 22:31 44K 
[   ]py3-pysimplesoap-1.16.2-r7.apk2024-10-25 22:34 44K 
[   ]py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk2024-10-25 22:34 44K 
[   ]commoncpp-tools-7.0.1-r1.apk2024-10-25 22:30 44K 
[   ]apulse-0.1.13-r2.apk2024-10-25 22:29 44K 
[   ]perl-xml-stream-1.24-r0.apk2024-10-25 22:34 44K 
[   ]py3-shodan-1.31.0-r1.apk2024-10-25 22:34 44K 
[   ]libtommath-1.2.1-r1.apk2025-05-25 09:50 44K 
[   ]volumeicon-0.5.1-r1.apk2024-10-25 22:37 44K 
[   ]watchdog-5.16-r2.apk2024-10-25 22:37 44K 
[   ]perl-time-moment-0.44-r1.apk2025-06-30 09:03 45K 
[   ]py3-mistletoe-1.4.0-r0.apk2025-03-19 14:13 45K 
[   ]py3-aiowinreg-pyc-0.0.12-r1.apk2025-05-29 13:59 45K 
[   ]ubase-20200605-r3.apk2024-10-25 22:36 45K 
[   ]py3-gtkspellcheck-5.0.3-r0.apk2024-12-07 21:32 45K 
[   ]malcontent-doc-0.12.0-r0.apk2025-05-08 13:05 45K 
[   ]kismet-nxp-kw41z-0.202307.1-r8.apk2025-06-12 15:21 45K 
[   ]pantalaimon-0.10.5-r4.apk2024-10-25 22:34 45K 
[   ]php83-pecl-ev-1.2.0-r0.apk2024-10-25 22:34 45K 
[   ]nlopt-guile-2.10.0-r1.apk2025-06-04 13:19 45K 
[   ]i2util-dev-4.2.1-r1.apk2024-10-25 22:31 45K 
[   ]py3-litex-hub-pythondata-cpu-minerva-2024.04-r0.apk2024-10-25 22:34 45K 
[   ]dooit-3.2.2-r0.apk2025-05-16 09:27 45K 
[   ]php84-pecl-ev-1.2.0-r1.apk2024-10-25 22:34 45K 
[   ]ocfs2-tools-dev-1.8.7-r4.apk2024-10-25 22:33 45K 
[   ]php81-pecl-memcache-8.2-r1.apk2024-10-25 22:34 45K 
[   ]ocaml-stringext-1.6.0-r2.apk2024-10-25 22:33 45K 
[   ]tree-sitter-make-0_git20211216-r2.apk2024-10-25 22:36 45K 
[   ]ettercap-doc-0.8.3.1-r3.apk2024-10-25 22:30 45K 
[   ]php85-mysqli-8.5.0_alpha1-r0.apk2025-07-02 19:08 45K 
[   ]py3-zipfile2-0.0.12-r0.apk2024-10-25 22:34 45K 
[   ]capnet-assist-8.0.0-r0.apk2025-04-15 03:16 45K 
[   ]primesieve-12.8-r0.apk2025-04-29 23:50 45K 
[   ]ocaml-ethernet-3.0.0-r3.apk2024-10-25 22:33 45K 
[   ]php83-pecl-phpy-1.0.11-r1.apk2025-05-12 23:26 45K 
[   ]py3-zope-schema-7.0.1-r3.apk2024-10-25 22:34 45K 
[   ]py3-pyautogui-pyc-0.9.53-r5.apk2024-10-25 22:34 45K 
[   ]php84-pecl-phpy-1.0.11-r1.apk2025-05-12 23:26 45K 
[   ]libreoffice-voikko-5.0_git20200127-r0.apk2024-10-25 22:32 45K 
[   ]ocaml-lwt_ssl-dev-1.2.0-r0.apk2024-10-25 22:33 45K 
[   ]endeavour-dev-43.0-r2.apk2024-12-08 22:41 46K 
[   ]nvim-packer-0.0.0_git20220910-r1.apk2024-10-25 22:33 46K 
[   ]ovos-skill-hello-world-0.0.4_alpha3-r1.apk2024-10-25 22:34 46K 
[   ]glfw-wayland-dev-3.3.8-r3.apk2024-10-25 22:31 46K 
[   ]gtkhash-lang-1.5-r0.apk2025-03-10 19:17 46K 
[   ]normaliz-3.10.4-r2.apk2025-06-13 06:58 46K 
[   ]tree-sitter-gleam-1.0.0-r0.apk2024-10-25 22:36 46K 
[   ]hyprland-plugins-hyprexpo-0.49.0-r0.apk2025-05-24 22:10 46K 
[   ]py3-ovos-backend-client-1.0.0-r0.apk2024-10-25 22:34 46K 
[   ]py3-ovos-config-1.2.2-r0.apk2025-06-01 18:49 46K 
[   ]emacs-hydra-0.15.0_git20220910-r0.apk2024-10-25 22:30 46K 
[   ]py3-bandwidth-sdk-3.1.0-r8.apk2024-10-25 22:34 46K 
[   ]py3-mopidy-mpd-3.3.0-r4.apk2024-10-25 22:34 46K 
[   ]cava-0.10.4-r1.apk2025-02-17 23:19 46K 
[   ]perl-tree-simple-visitorfactory-doc-0.16-r0.apk2025-03-19 14:13 46K 
[   ]fusesoc-2.3-r0.apk2024-10-25 22:30 46K 
[   ]persistent-cache-cpp-1.0.7-r4.apk2025-02-14 16:28 46K 
[   ]raku-file-ignore-1.2-r0.apk2025-06-08 18:40 46K 
[   ]py3-pillow_heif-0.18.0-r0.apk2024-10-25 22:34 46K 
[   ]php85-pdo-8.5.0_alpha1-r0.apk2025-07-02 19:08 46K 
[   ]elementary-feedback-lang-8.0.1-r0.apk2025-05-13 08:39 46K 
[   ]dislocker-libs-0.7.3-r5.apk2024-10-25 22:30 47K 
[   ]uxn-1.0-r0.apk2024-10-25 22:36 47K 
[   ]lomiri-content-hub-lang-2.1.0-r0.apk2025-04-15 03:17 47K 
[   ]acmetool-doc-0.2.2-r14.apk2025-07-10 21:44 47K 
[   ]kismet-linux-bluetooth-0.202307.1-r8.apk2025-06-12 15:21 47K 
[   ]raku-digest-sha1-native-0.06-r0.apk2025-06-08 18:40 47K 
[   ]py3-gls-1.3.1-r1.apk2024-10-25 22:34 47K 
[   ]ocaml-mtime-dev-1.4.0-r2.apk2024-10-25 22:33 47K 
[   ]elementary-feedback-8.0.1-r0.apk2025-05-13 08:39 47K 
[   ]bitlbee-mastodon-1.4.5-r0.apk2024-10-25 22:29 47K 
[   ]py3-ovos-ocp-files-plugin-0.13.1-r0.apk2024-10-25 22:34 47K 
[   ]py3-flask-limiter-pyc-3.10.1-r0.apk2025-01-19 18:09 47K 
[   ]plfit-static-1.0.1-r0.apk2025-01-04 04:47 47K 
[   ]libbsoncxx-3.8.0-r0.apk2024-10-25 22:32 47K 
[   ]py3-rosdistro-0.9.0-r3.apk2024-10-25 22:34 47K 
[   ]dublin-traceroute-0.4.2-r4.apk2024-10-25 22:30 47K 
[   ]py3-yapsy-pyc-1.12.2-r7.apk2024-10-25 22:34 47K 
[   ]py3-markdown2-2.5.0-r0.apk2024-10-25 22:34 47K 
[   ]py3-soappy-0.52.30-r0.apk2024-12-03 00:04 47K 
[   ]py3-pyqrcode-pyc-1.2.1-r0.apk2024-10-25 22:34 47K 
[   ]ocaml-uuseg-dev-14.0.0-r2.apk2024-10-25 22:33 47K 
[   ]py3-distorm3-3.5.2-r6.apk2024-10-25 22:34 47K 
[   ]elementary-music-lang-8.0.0-r0.apk2024-10-28 23:06 47K 
[   ]py3-cookiecutter-pyc-2.6.0-r1.apk2024-10-25 22:34 47K 
[   ]py3-marshmallow-3.26.1-r0.apk2025-02-21 23:39 48K 
[   ]py3-pycosat-0.6.6-r2.apk2024-10-25 22:34 48K 
[   ]startup-doc-2.0.3-r5.apk2024-10-25 22:36 48K 
[   ]polyglot-doc-2.0.4-r1.apk2024-10-25 22:34 48K 
[   ]otf-atkinson-hyperlegible-doc-2020.0514-r1.apk2024-10-25 22:34 48K 
[   ]s-postgray-0.8.3-r0.apk2024-10-25 22:35 48K 
[   ]perl-dbix-class-helpers-2.037000-r0.apk2024-11-17 05:06 48K 
[   ]pasystray-0.8.2-r0.apk2024-10-25 22:34 48K 
[   ]bananui-daemons-0.1.0-r0.apk2024-10-25 22:29 48K 
[   ]ocaml-uuidm-0.9.8-r2.apk2024-10-25 22:33 48K 
[   ]raku-json-class-0.0.21-r0.apk2025-06-07 09:42 48K 
[   ]spread-sheet-widget-0.10-r0.apk2024-10-25 22:36 48K 
[   ]identme-0.6.0-r0.apk2025-04-03 14:33 48K 
[   ]py3-createrepo_c-1.1.4-r0.apk2024-10-25 22:34 48K 
[   ]php81-pgsql-8.1.33-r0.apk2025-07-03 00:13 48K 
[   ]levmar-dev-2.6-r0.apk2024-10-25 22:31 48K 
[   ]php85-doc-8.5.0_alpha1-r0.apk2025-07-02 19:08 48K 
[   ]perl-test-unit-doc-0.27-r0.apk2024-10-25 22:34 48K 
[   ]coxeter-3.0-r1.apk2024-10-25 22:30 48K 
[   ]perl-net-jabber-doc-2.0-r0.apk2024-10-25 22:34 48K 
[   ]raku-datetime-parse-0.9.3-r0.apk2025-06-07 09:42 48K 
[   ]tremc-0.9.3-r1.apk2025-05-14 20:13 48K 
[   ]meli-doc-0.8.11-r0.apk2025-05-04 16:22 48K 
[   ]gssdp-1.6.4-r0.apk2025-06-29 01:49 48K 
[   ]py3-modbus-tk-pyc-1.1.1-r4.apk2024-10-25 22:34 48K 
[   ]py3-zope-configuration-pyc-5.0.1-r2.apk2024-10-25 22:34 49K 
[   ]clinfo-3.0.23.01.25-r0.apk2024-10-25 22:30 49K 
[   ]ace-of-penguins-doc-1.4-r3.apk2024-10-25 22:29 49K 
[   ]py3-sssd-pyc-2.11.0-r0.apk2025-06-06 10:56 49K 
[   ]py3-distorm3-pyc-3.5.2-r6.apk2024-10-25 22:34 49K 
[   ]urlwatch-2.28-r2.apk2024-10-25 22:36 49K 
[   ]parcellite-lang-1.2.5-r0.apk2024-10-25 22:34 49K 
[   ]py3-bibtexparser-pyc-1.4.3-r0.apk2025-01-19 12:58 49K 
[   ]ocaml-mtime-1.4.0-r2.apk2024-10-25 22:33 49K 
[   ]ocaml-bigstringaf-0.9.0-r2.apk2024-10-25 22:33 49K 
[   ]perl-minion-doc-10.31-r0.apk2024-10-25 22:34 49K 
[   ]py3-osqp-dev-0.6.2-r6.apk2024-10-25 22:34 49K 
[   ]soapy-bladerf-0.4.2-r0.apk2024-12-31 02:11 49K 
[   ]lynis-doc-3.1.1-r0.apk2024-10-25 22:32 49K 
[   ]metadata-cleaner-2.5.6-r0.apk2025-07-08 22:56 49K 
[   ]qadwaitadecorations-0.1.6-r0.apk2025-05-08 13:05 49K 
[   ]lavacli-2.4-r0.apk2025-06-14 20:10 49K 
[   ]php81-pecl-memcached-3.3.0-r0.apk2024-10-25 22:34 49K 
[   ]py3-spotipy-pyc-2.24.0-r2.apk2025-05-14 20:13 50K 
[   ]spiritvnc-0.6.5-r0.apk2024-11-03 06:10 50K 
[   ]py3-radon-pyc-6.0.1-r2.apk2024-10-25 22:34 50K 
[   ]hyprland-plugins-hyprtrails-0.49.0-r0.apk2025-05-24 22:10 50K 
[   ]py3-liblarch-pyc-3.2.0-r6.apk2024-12-08 22:41 50K 
[   ]swaks-doc-20240103.0-r0.apk2024-10-25 22:36 50K 
[   ]jedi-language-server-pyc-0.45.1-r0.apk2025-04-29 00:57 50K 
[   ]perl-statistics-basic-doc-1.6611-r0.apk2024-10-25 22:34 50K 
[   ]py3-recurring-ical-events-pyc-3.8.0-r0.apk2025-06-14 20:10 50K 
[   ]py3-ovos-bus-client-1.3.4-r0.apk2025-04-25 07:14 50K 
[   ]cvs-fast-export-1.65-r0.apk2024-10-25 22:30 50K 
[   ]ccze-0.2.1-r1.apk2024-10-25 22:29 50K 
[   ]openwsman-2.8.1-r1.apk2025-06-30 09:03 50K 
[   ]ytmdl-2024.08.15.1-r1.apk2025-05-14 20:13 50K 
[   ]gpscorrelate-2.3-r0.apk2025-03-27 07:36 50K 
[   ]somebar-1.0.3-r0.apk2024-10-25 22:36 50K 
[   ]gupnp-dev-1.6.9-r0.apk2025-06-29 01:49 50K 
[   ]milkytracker-doc-1.04.00-r2.apk2024-10-25 22:32 50K 
[   ]perl-software-license-doc-0.104007-r0.apk2025-07-08 18:53 50K 
[   ]castero-0.9.5-r4.apk2025-05-14 20:13 50K 
[   ]libmpfi-static-1.5.4-r2.apk2024-10-25 22:32 51K 
[   ]perl-devel-nytprof-doc-6.14-r1.apk2025-06-30 09:03 51K 
[   ]goomwwm-1.0.0-r5.apk2024-10-25 22:31 51K 
[   ]py3-mss-10.0.0-r0.apk2024-11-14 13:57 51K 
[   ]py3-lunr-pyc-0.6.2-r4.apk2024-10-25 22:34 51K 
[   ]perl-net-jabber-2.0-r0.apk2024-10-25 22:34 51K 
[   ]fungw-perl-1.2.1-r0.apk2024-12-30 10:48 51K 
[   ]py3-lxmf-0.7.1-r0.apk2025-05-27 23:33 51K 
[   ]waynergy-0.0.17-r0.apk2024-10-25 22:37 51K 
[   ]libshadowsocks-libev-3.3.5-r4.apk2024-10-25 22:32 51K 
[   ]ocaml-ezxmlm-dev-1.1.0-r0.apk2024-10-25 22:33 51K 
[   ]ocaml-tsdl-image-0.6-r0.apk2024-10-25 22:33 51K 
[   ]perl-data-dump-streamer-2.42-r1.apk2025-06-30 09:03 51K 
[   ]ovos-core-1.3.1-r0.apk2025-05-26 14:10 51K 
[   ]createrepo_c-1.1.4-r0.apk2024-10-25 22:30 51K 
[   ]py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk2024-10-25 22:34 51K 
[   ]lcalc-dev-2.1.0-r0.apk2025-05-03 10:30 51K 
[   ]ocaml-merlin-extend-0.6.1-r2.apk2024-10-25 22:33 51K 
[   ]py3-tasklib-pyc-2.5.1-r2.apk2024-10-25 22:34 52K 
[   ]py3-zimscraperlib-3.4.0-r0.apk2024-11-06 10:42 52K 
[   ]lomiri-ui-extras-lang-0.7.0-r0.apk2025-04-11 07:48 52K 
[   ]py3-dnslib-0.9.25-r0.apk2024-10-25 22:34 52K 
[   ]py3-pymaging-png-pyc-0.0.20130727-r10.apk2024-10-25 22:34 52K 
[   ]granite7-lang-7.5.0-r0.apk2024-10-25 22:31 52K 
[   ]megatools-doc-1.11.4.20250411-r0.apk2025-05-03 19:33 52K 
[   ]py3-pyatem-0.5.0-r4.apk2024-10-25 22:34 52K 
[   ]py3-pypubsub-4.0.3-r0.apk2024-10-25 22:34 52K 
[   ]xone-src-0.3.1-r0.apk2025-07-10 21:46 52K 
[   ]jalv-1.6.8-r1.apk2024-10-25 22:31 52K 
[   ]py3-dogpile.cache-1.3.3-r1.apk2025-05-14 20:13 53K 
[   ]gkrellm-server-2.3.11-r0.apk2025-01-08 23:36 53K 
[   ]ocamlnet-tcl-4.1.9-r2.apk2024-10-25 22:33 53K 
[   ]ccrtp-dev-2.1.2-r0.apk2024-10-25 22:29 53K 
[   ]ocaml-hex-dev-1.5.0-r2.apk2024-10-25 22:33 53K 
[   ]recoll-dev-1.37.5-r1.apk2024-10-25 22:34 53K 
[   ]pimd-dense-2.1.0-r0.apk2024-10-25 22:34 53K 
[   ]timew-doc-1.4.3-r1.apk2024-10-25 22:36 53K 
[   ]ocaml-uutf-dev-1.0.3-r2.apk2024-10-25 22:33 53K 
[   ]mat2-pyc-0.13.4-r3.apk2025-04-25 07:14 53K 
[   ]py3-wstools-0.4.10-r7.apk2024-10-25 22:34 53K 
[   ]libantlr3c-3.4-r3.apk2024-10-25 22:32 53K 
[   ]perl-alien-base-modulebuild-doc-1.17-r0.apk2025-06-08 18:40 53K 
[   ]xfce4-panel-profiles-lang-1.1.1-r0.apk2025-07-06 11:15 53K 
[   ]py3-redmine-pyc-2.5.0-r0.apk2024-10-25 22:34 54K 
[   ]py3-pyzor-pyc-1.0.0-r11.apk2024-10-25 22:34 54K 
[   ]py3-c3d-pyc-0.5.2-r1.apk2024-10-25 22:34 54K 
[   ]mcjoin-doc-2.11-r0.apk2024-10-25 22:32 54K 
[   ]freediameter-dev-1.5.0-r1.apk2024-10-25 22:30 54K 
[   ]autoremove-torrents-pyc-1.5.5-r0.apk2024-10-25 22:29 54K 
[   ]qspectrumanalyzer-2.2.0-r5.apk2024-10-25 22:34 54K 
[   ]belle-sip-dev-5.3.105-r0.apk2025-02-25 13:52 54K 
[   ]perl-catalyst-devel-1.42-r0.apk2025-03-20 17:50 54K 
[   ]py3-rospkg-pyc-1.2.9-r5.apk2024-10-25 22:34 54K 
[   ]clevis-21-r0.apk2025-01-20 05:17 54K 
[   ]metalang99-1.13.3-r0.apk2024-10-25 22:32 54K 
[   ]sopwith-2.5.0-r0.apk2024-10-25 22:36 55K 
[   ]php83-pecl-uv-0.3.0-r0.apk2024-10-25 22:34 55K 
[   ]ocaml-iso8601-0.2.6-r0.apk2024-10-25 22:33 55K 
[   ]fabric-3.2.2-r1.apk2024-10-25 22:30 55K 
[   ]krdp-lang-6.4.2-r0.apk2025-07-05 20:59 55K 
[   ]php84-pecl-uv-0.3.0-r0.apk2024-10-28 13:47 55K 
[   ]nvim-cmp-0.0.0_git20221011-r1.apk2024-10-25 22:33 55K 
[   ]mpdcron-dev-0.3-r1.apk2024-10-25 22:32 55K 
[   ]py3-sh-pyc-2.1.0-r0.apk2024-11-01 20:39 55K 
[   ]py3-trivup-pyc-0.12.2-r2.apk2024-10-25 22:34 55K 
[   ]elfio-dev-3.12-r0.apk2024-10-25 22:30 55K 
[   ]emacs-centaur-tabs-3.2_git20230601-r0.apk2024-10-25 22:30 55K 
[   ]py3-joserfc-1.2.1-r0.apk2025-07-10 21:45 55K 
[   ]php81-pecl-event-3.1.4-r0.apk2024-10-25 22:34 55K 
[   ]ocaml-ptmap-2.0.5-r3.apk2024-10-25 22:33 55K 
[   ]backup-manager-0.7.15-r1.apk2024-10-25 22:29 55K 
[   ]git-extras-7.3.0-r0.apk2024-11-17 13:49 55K 
[   ]libstirshaken-0_git20240208-r4.apk2025-04-28 21:56 55K 
[   ]perl-cgi-simple-1.281-r0.apk2025-03-19 14:13 56K 
[   ]libiio-0.25-r2.apk2024-10-25 22:32 56K 
[   ]plfit-1.0.1-r0.apk2025-01-04 04:47 56K 
[   ]shine-3.1.1-r0.apk2024-10-25 22:36 56K 
[   ]openwsman-dev-2.8.1-r1.apk2025-06-30 09:03 56K 
[   ]khronos-4.0.1-r0.apk2024-10-25 22:31 56K 
[   ]perl-catalyst-plugin-authentication-doc-0.10024-r0.apk2025-03-29 09:34 56K 
[   ]libucl-0.9.0-r0.apk2024-10-25 22:32 56K 
[   ]py3-pyspinel-1.0.3-r1.apk2024-10-25 22:34 56K 
[   ]py3-qpageview-doc-0.6.2-r1.apk2024-10-25 22:34 56K 
[   ]py3-scour-0.38.2-r1.apk2024-10-25 22:34 56K 
[   ]epoch-1.3.0-r2.apk2024-10-25 22:30 56K 
[   ]kodaskanna-0.2.2-r0.apk2025-01-20 09:16 56K 
[   ]fplll-5.5.0-r0.apk2024-11-17 05:06 57K 
[   ]pympress-lang-1.8.5-r1.apk2024-10-25 22:34 57K 
[   ]coxeter-dev-3.0-r1.apk2024-10-25 22:30 57K 
[   ]rkdeveloptool-1.1.0-r1.apk2024-10-25 22:34 57K 
[   ]policycoreutils-3.6-r1.apk2024-10-25 22:34 57K 
[   ]liquibase-doc-4.9.1-r0.apk2024-10-25 22:32 57K 
[   ]perl-libapreq2-dev-2.17-r3.apk2025-06-30 09:03 57K 
[   ]sblim-sfcc-2.2.8-r3.apk2024-10-25 22:35 57K 
[   ]php81-pecl-apcu-5.1.24-r0.apk2024-10-25 22:34 57K 
[   ]py3-catkin-pkg-0.5.2-r4.apk2024-10-25 22:34 57K 
[   ]tinygltf-dev-2.9.6-r0.apk2025-06-08 18:42 57K 
[   ]elementary-calculator-lang-8.0.0-r0.apk2024-10-28 23:05 57K 
[   ]php85-pecl-apcu-5.1.24-r0.apk2025-07-02 20:59 57K 
[   ]drupal7-doc-7.103-r0.apk2024-12-04 18:28 57K 
[   ]portsmf-239-r1.apk2024-10-25 22:34 57K 
[   ]kfc-0.1.4-r0.apk2024-10-25 22:31 58K 
[   ]xfce4-panel-profiles-1.1.1-r0.apk2025-07-06 11:15 58K 
[   ]perl-net-xmpp-1.05-r0.apk2024-10-25 22:34 58K 
[   ]postgresql-hll-bitcode-2.18-r0.apk2024-10-25 22:34 58K 
[   ]vcstool-pyc-0.3.0-r5.apk2024-10-25 22:36 58K 
[   ]mint-y-theme-metacity-2.1.1-r0.apk2024-10-25 22:32 58K 
[   ]libnfc-1.8.0-r1.apk2024-10-25 22:32 58K 
[   ]terminalpp-ropen-0.8.4-r0.apk2024-10-25 22:36 58K 
[   ]py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0.apk2024-10-25 22:34 58K 
[   ]flightgear-doc-2024.1.1-r0.apk2025-03-05 01:19 58K 
[   ]perl-json-validator-5.15-r0.apk2025-03-19 14:13 58K 
[   ]font-tiresias-doc-0_git20200704-r0.apk2024-10-25 22:30 58K 
[   ]sbase-doc-0_git20210730-r3.apk2024-10-25 22:35 58K 
[   ]ocaml-happy-eyeballs-lwt-dev-0.3.0-r3.apk2024-10-25 22:33 58K 
[   ]libantlr3c-dev-3.4-r3.apk2024-10-25 22:32 58K 
[   ]perl-net-async-redis-6.006-r0.apk2024-12-11 17:22 58K 
[   ]py3-empy-pyc-3.3.4-r7.apk2024-10-25 22:34 58K 
[   ]anari-sdk-dev-0.7.2-r0.apk2024-10-25 22:29 59K 
[   ]libvdpau-va-gl-0.4.2-r0.apk2024-10-25 22:32 59K 
[   ]libupstart-2.0.3-r5.apk2024-10-25 22:32 59K 
[   ]xfce4-mixer-lang-4.18.1-r2.apk2024-10-25 22:37 59K 
[   ]py3-sphinx-autoapi-pyc-3.6.0-r0.apk2025-02-18 23:20 59K 
[   ]s-dkim-sign-0.6.2-r0.apk2024-10-25 22:35 59K 
[   ]py3-astral-pyc-3.2-r3.apk2024-10-25 22:34 59K 
[   ]decoder-lang-0.7.0-r0.apk2025-04-10 15:31 59K 
[   ]plplot-dev-5.15.0-r2.apk2024-10-25 22:34 59K 
[   ]py3-unicrypto-0.0.10-r3.apk2025-05-29 13:59 59K 
[   ]py3-flask-restless-pyc-0.17.0-r9.apk2024-10-25 22:34 59K 
[   ]libfishsound-dev-1.0.0-r1.apk2024-10-25 22:32 59K 
[   ]php81-pecl-amqp-2.1.2-r0.apk2024-10-25 22:34 59K 
[   ]kabmat-2.7.0-r0.apk2024-10-25 22:31 59K 
[   ]tinyscheme-1.42-r1.apk2024-10-25 22:36 59K 
[   ]sloccount-doc-2.26-r3.apk2024-10-25 22:36 59K 
[   ]lua5.2-lanes-3.16.0-r1.apk2024-10-25 22:32 59K 
[   ]lua5.4-lanes-3.16.0-r1.apk2024-10-25 22:32 60K 
[   ]lua5.1-lanes-3.16.0-r1.apk2024-10-25 22:32 60K 
[   ]psftools-doc-1.1.2-r0.apk2024-10-25 22:34 60K 
[   ]fdm-materials-5.2.2-r1.apk2024-10-25 22:30 60K 
[   ]sthttpd-2.27.1-r2.apk2024-10-25 22:36 60K 
[   ]bananui-2.0.0-r0.apk2024-10-25 22:29 60K 
[   ]cvise-pyc-2.11.0-r0.apk2025-03-08 14:15 60K 
[   ]lua5.3-lanes-3.16.0-r1.apk2024-10-25 22:32 60K 
[   ]shntool-3.0.10-r5.apk2025-02-24 22:00 60K 
[   ]rtl-power-fftw-20200601-r4.apk2024-10-25 22:35 60K 
[   ]perl-devel-repl-doc-1.003029-r0.apk2025-04-24 16:50 60K 
[   ]fabric-pyc-3.2.2-r1.apk2024-10-25 22:30 60K 
[   ]openscap-daemon-0.1.10-r9.apk2024-10-25 22:34 60K 
[   ]clevis-dbg-21-r0.apk2025-01-20 05:17 60K 
[   ]py3-aiodocker-pyc-0.21.0-r1.apk2024-10-25 22:34 60K 
[   ]mnamer-pyc-2.5.5-r1.apk2024-10-25 22:32 60K 
[   ]bitlbee-facebook-1.2.2-r0.apk2024-10-25 22:29 60K 
[   ]php85-pgsql-8.5.0_alpha1-r0.apk2025-07-02 19:08 61K 
[   ]py3-sssd-2.11.0-r0.apk2025-06-06 10:56 61K 
[   ]gmcapsule-pyc-0.9.7-r0.apk2025-01-08 20:13 61K 
[   ]apt-dater-1.0.4-r4.apk2024-10-25 22:29 61K 
[   ]wlroots0.12-dev-0.12.0-r1.apk2024-10-25 22:37 61K 
[   ]66-tools-0.1.2.0-r0.apk2025-06-01 17:50 61K 
[   ]sloccount-2.26-r3.apk2024-10-25 22:36 61K 
[   ]py3-zope-schema-pyc-7.0.1-r3.apk2024-10-25 22:34 61K 
[   ]cellbroadcastd-0.0.2-r0.apk2025-06-27 13:15 61K 
[   ]libabigail-doc-2.3-r0.apk2024-10-25 22:32 61K 
[   ]hyprpolkitagent-0.1.2-r0.apk2025-05-24 22:02 61K 
[   ]libzn_poly-0.9.2-r2.apk2024-10-25 22:32 61K 
[   ]ttfautohint-gui-1.8.4-r0.apk2024-10-25 22:36 61K 
[   ]libnfc-tools-1.8.0-r1.apk2024-10-25 22:32 61K 
[   ]mrsh-libs-0_git20210518-r1.apk2024-10-25 22:32 62K 
[   ]dcnnt-pyc-0.10.0-r1.apk2024-10-25 22:30 62K 
[   ]py3-feedgen-pyc-1.0.0-r1.apk2024-10-25 22:34 62K 
[   ]ustr-1.0.4-r1.apk2024-10-25 22:36 62K 
[   ]ocaml-easy-format-1.3.4-r1.apk2024-10-25 22:33 62K 
[   ]libiscsi-1.19.0-r2.apk2024-10-25 22:32 62K 
[   ]font-tamzen-1.11.5-r1.apk2024-10-25 22:30 62K 
[   ]emacs-gnosis-0.3.2-r0.apk2024-10-25 22:30 62K 
[   ]py3-tidalapi-pyc-0.7.4-r1.apk2024-10-25 22:34 62K 
[   ]sshuttle-1.1.2-r0.apk2024-10-25 22:36 62K 
[   ]qspectrumanalyzer-pyc-2.2.0-r5.apk2024-10-25 22:34 62K 
[   ]py3-mapbox-earcut-1.0.1-r2.apk2024-10-25 22:34 62K 
[   ]notification-daemon-3.20.0-r1.apk2025-07-02 00:03 62K 
[   ]py3-colander-2.0-r2.apk2024-10-25 22:34 62K 
[   ]php81-dom-8.1.33-r0.apk2025-07-03 00:13 63K 
[   ]libxo-doc-1.7.5-r0.apk2025-01-12 23:45 63K 
[   ]libofx-0.10.9-r1.apk2024-10-25 22:32 63K 
[   ]perl-glib-object-introspection-0.051-r2.apk2025-06-30 09:03 63K 
[   ]py3-pyspinel-pyc-1.0.3-r1.apk2024-10-25 22:34 63K 
[   ]gf2x-dev-1.3.0-r1.apk2024-10-25 22:31 63K 
[   ]libsirocco-2.1.0-r2.apk2024-10-25 22:32 63K 
[   ]git-extras-doc-7.3.0-r0.apk2024-11-17 13:49 63K 
[   ]pixiewps-1.4.2-r2.apk2025-05-12 23:26 63K 
[   ]ocaml-jsonm-dev-1.0.2-r0.apk2024-10-25 22:33 63K 
[   ]py3-minidump-0.0.24-r1.apk2025-05-29 13:59 64K 
[   ]libzn_poly-static-0.9.2-r2.apk2024-10-25 22:32 64K 
[   ]ovos-core-pyc-1.3.1-r0.apk2025-05-26 14:10 64K 
[   ]masky-pyc-0.2.0-r2.apk2025-05-29 13:59 64K 
[   ]9base-doc-6-r2.apk2024-10-25 22:29 64K 
[   ]ueberzug-pyc-18.3.1-r0.apk2025-02-22 12:09 64K 
[   ]apache-mod-auth-gssapi-1.6.5-r1.apk2024-10-25 22:29 64K 
[   ]htmlcxx-0.87-r1.apk2024-10-25 22:31 64K 
[   ]ansible-bender-pyc-0.10.1-r2.apk2025-06-09 10:49 64K 
[   ]pulsar-client-cpp-dev-3.7.1-r0.apk2025-06-12 15:21 64K 
[   ]rtaudio-dev-6.0.1-r0.apk2025-04-18 01:03 65K 
[   ]php81-pecl-zephir_parser-1.7.0-r0.apk2024-11-24 17:57 65K 
[   ]gufw-pyc-24.04-r3.apk2024-11-19 23:01 65K 
[   ]php82-pecl-zephir_parser-1.7.0-r0.apk2024-11-24 17:57 65K 
[   ]py3-sphinx-theme-bw-0.1.8-r7.apk2024-10-25 22:34 65K 
[   ]hyprland-plugins-borders-plus-plus-0.49.0-r0.apk2025-05-24 22:10 65K 
[   ]megatools-1.11.4.20250411-r0.apk2025-05-03 19:33 65K 
[   ]femto-2.21.7-r0.apk2025-06-19 08:37 65K 
[   ]perl-net-curl-0.57-r1.apk2025-06-30 09:03 66K 
[   ]ueberzug-18.3.1-r0.apk2025-02-22 12:09 66K 
[   ]perl-gtk2-ex-widgetbits-48-r3.apk2024-10-25 22:34 66K 
[   ]php81-pecl-ds-1.6.0-r0.apk2025-05-07 15:16 66K 
[   ]lomiri-terminal-app-2.0.5-r0.apk2025-05-09 19:32 66K 
[   ]perl-net-async-redis-doc-6.006-r0.apk2024-12-11 17:22 66K 
[   ]metadata-cleaner-lang-2.5.6-r0.apk2025-07-08 22:56 66K 
[   ]swaks-20240103.0-r0.apk2024-10-25 22:36 66K 
[   ]kismet-linux-wifi-0.202307.1-r8.apk2025-06-12 15:21 66K 
[   ]rosdep-0.19.0-r6.apk2024-10-25 22:35 66K 
[   ]vim-nerdtree-7.1.3-r0.apk2025-03-04 22:00 67K 
[   ]zutty-doc-0.16-r0.apk2025-01-12 22:27 67K 
[   ]ocaml-tsdl-ttf-0.6-r0.apk2024-10-25 22:33 67K 
[   ]py3-bookkeeper-pyc-4.17.1-r0.apk2024-10-25 22:34 67K 
[   ]polyglot-2.0.4-r1.apk2024-10-25 22:34 67K 
[   ]py3-isbnlib-pyc-3.10.14-r0.apk2025-01-19 12:58 67K 
[   ]pebble-le-0.3.0-r2.apk2024-12-14 20:04 67K 
[   ]xsecurelock-1.9.0-r1.apk2024-10-25 22:37 67K 
[   ]jbigkit-2.1-r2.apk2024-10-25 22:31 67K 
[   ]heisenbridge-1.15.3-r0.apk2025-04-20 07:03 67K 
[   ]libxml++-5.4.0-r0.apk2025-02-13 08:47 68K 
[   ]py3-pysubs2-pyc-1.8.0-r0.apk2024-12-25 23:09 68K 
[   ]glfw-wayland-3.3.8-r3.apk2024-10-25 22:31 68K 
[   ]py3-python-iptables-pyc-1.0.1-r1.apk2024-10-25 22:34 68K 
[   ]gnome-user-share-lang-48.1-r0.apk2025-06-30 00:30 68K 
[   ]perl-io-lambda-doc-1.34-r0.apk2024-10-25 22:34 68K 
[   ]twemproxy-0.5.0-r0.apk2024-10-25 22:36 68K 
[   ]libtommath-dev-1.2.1-r1.apk2025-05-25 09:50 68K 
[   ]py3-blockdiag-3.0.0-r6.apk2025-01-10 13:29 68K 
[   ]arc-cinnamon-20221218-r0.apk2024-10-25 22:29 68K 
[   ]endeavour-doc-43.0-r2.apk2024-12-08 22:41 68K 
[   ]py3-pystache-0.6.5-r1.apk2024-10-25 22:34 68K 
[   ]php81-doc-8.1.33-r0.apk2025-07-03 00:13 68K 
[   ]ginac-dev-1.8.9-r0.apk2025-05-25 09:50 68K 
[   ]py3-ncclient-0.6.13-r5.apk2024-10-25 22:34 68K 
[   ]clipit-1.4.5-r3.apk2024-10-25 22:30 68K 
[   ]hyprland-plugins-hyprwinwrap-0.49.0-r0.apk2025-05-24 22:10 68K 
[   ]py3-zimscraperlib-pyc-3.4.0-r0.apk2024-11-06 10:42 68K 
[   ]subliminal-2.2.1-r1.apk2025-05-14 20:13 68K 
[   ]arc-dark-cinnamon-20221218-r0.apk2024-10-25 22:29 68K 
[   ]atlantik-lang-3.5.10_git20240323-r0.apk2024-10-25 22:29 69K 
[   ]networkmanager-openconnect-1.2.10-r1.apk2025-04-08 00:00 69K 
[   ]charls-2.4.2-r0.apk2024-10-25 22:29 69K 
[   ]py3-ovos-utils-0.5.4-r0.apk2024-11-25 13:47 69K 
[   ]tpm2-pkcs11-pyc-1.9.1-r0.apk2024-10-25 22:36 69K 
[   ]libqtdbusmock-0.9.1-r2.apk2025-02-14 16:28 69K 
[   ]py3-bandwidth-sdk-pyc-3.1.0-r8.apk2024-10-25 22:34 69K 
[   ]daemontools-0.76-r3.apk2024-10-25 22:30 69K 
[   ]ocfs2-tools-doc-1.8.7-r4.apk2024-10-25 22:33 69K 
[   ]debconf-1.5.82-r0.apk2024-10-25 22:30 69K 
[   ]py3-lsprotocol-2023.0.1-r1.apk2024-10-25 22:34 69K 
[   ]fildesh-0.2.0-r0.apk2024-10-25 22:30 70K 
[   ]hfst-doc-3.16.2-r0.apk2025-03-28 17:12 70K 
[   ]libnest2d-dev-0.4-r7.apk2025-02-06 05:36 70K 
[   ]ocaml-eqaf-0.8-r2.apk2024-10-25 22:33 70K 
[   ]serialdv-libs-1.1.4-r1.apk2025-02-09 00:40 70K 
[   ]apache2-mod-perl-dbg-2.0.13-r2.apk2025-06-30 09:03 70K 
[   ]pqiv-2.12-r1.apk2024-10-25 22:34 70K 
[   ]py3-hg-git-1.1.1-r1.apk2024-10-25 22:34 70K 
[   ]gupnp-dlna-0.12.0-r0.apk2024-12-01 13:56 70K 
[   ]smassh-pyc-3.1.6-r0.apk2024-11-21 03:57 70K 
[   ]wlroots0.15-dev-0.15.1-r6.apk2024-10-25 22:37 70K 
[   ]xdg-ninja-0.2.0.2-r0.apk2024-10-25 22:37 70K 
[   ]wlroots0.16-dev-0.16.2-r0.apk2024-10-28 14:48 71K 
[   ]getmail6-6.19.08-r0.apk2025-05-15 11:51 71K 
[   ]raku-json-marshal-0.0.25-r0.apk2025-06-07 09:42 71K 
[   ]php81-ffi-8.1.33-r0.apk2025-07-03 00:13 71K 
[   ]postgresql16-wal2json-2.6-r0.apk2024-10-25 22:34 71K 
[   ]opendht-dev-3.1.11-r0.apk2025-01-27 21:56 71K 
[   ]ocaml-mew-0.1.0-r3.apk2024-10-25 22:33 71K 
[   ]py3-irc-pyc-20.4.1-r0.apk2024-10-25 22:34 71K 
[   ]idesk-1-r1.apk2024-10-25 22:31 71K 
[   ]errands-lang-46.2.8-r0.apk2025-05-22 16:21 71K 
[   ]py3-limits-pyc-3.14.1-r0.apk2024-12-25 19:44 71K 
[   ]tmate-doc-2.4.0-r4.apk2024-10-25 22:36 72K 
[   ]log4cpp-1.1.4-r1.apk2024-10-25 22:32 72K 
[   ]postgresql-pg_variables-bitcode-1.2.5_git20230922-r0.apk2024-10-25 22:34 72K 
[   ]raku-json-unmarshal-0.18-r0.apk2025-06-07 09:42 72K 
[   ]elementary-calculator-8.0.0-r0.apk2024-10-28 23:05 72K 
[   ]spiped-1.6.2-r1.apk2024-10-25 22:36 72K 
[   ]ocaml-ptime-dev-1.0.0-r2.apk2024-10-25 22:33 72K 
[   ]py3-latex2mathml-3.77.0-r1.apk2024-10-25 22:34 72K 
[   ]openfire-plugins-4.8.1-r1.apk2024-12-03 15:37 72K 
[   ]py3-apio-0.9.5-r0.apk2024-10-25 22:34 72K 
[   ]smassh-3.1.6-r0.apk2024-11-21 03:57 72K 
[   ]hypnotix-lang-3.5-r0.apk2024-10-25 22:31 72K 
[   ]b2-tools-4.3.2-r0.apk2025-05-03 11:48 72K 
[   ]normaliz-dev-3.10.4-r2.apk2025-06-13 06:58 73K 
[   ]dnsperf-2.14.0-r0.apk2024-10-25 22:30 73K 
[   ]xsoldier-1.8-r2.apk2024-10-25 22:37 73K 
[   ]rhasspy-nlu-pyc-0.4.0-r3.apk2024-10-25 22:34 73K 
[   ]afew-3.0.1-r0.apk2025-05-19 00:22 73K 
[   ]perl-snmp-5.0404-r14.apk2025-06-30 09:03 73K 
[   ]yaru-theme-hdpi-23.10.0-r2.apk2025-03-19 14:14 73K 
[   ]py3-hishel-pyc-0.1.3-r0.apk2025-07-09 01:07 73K 
[   ]screenkey-pyc-1.5-r6.apk2024-10-25 22:35 73K 
[   ]topgit-doc-0.19.13-r1.apk2024-10-25 22:36 73K 
[   ]cpp-httplib-0.22.0-r0.apk2025-07-07 18:05 73K 
[   ]gamemode-1.8.2-r0.apk2025-02-06 00:28 74K 
[   ]libiscsi-static-1.19.0-r2.apk2024-10-25 22:32 74K 
[   ]perl-encode-detect-1.01-r1.apk2025-06-30 09:03 74K 
[   ]py3-scour-pyc-0.38.2-r1.apk2024-10-25 22:34 74K 
[   ]ffms2-5.0-r0.apk2024-10-25 22:30 74K 
[   ]py3-mopidy-mpd-pyc-3.3.0-r4.apk2024-10-25 22:34 74K 
[   ]php85-ffi-8.5.0_alpha1-r0.apk2025-07-02 19:08 74K 
[   ]ocaml-gmap-dev-0.3.0-r2.apk2024-10-25 22:33 74K 
[   ]elementary-settings-daemon-lang-8.3.0-r0.apk2025-06-14 20:10 74K 
[   ]vcdimager-doc-2.0.1-r5.apk2025-01-22 11:33 74K 
[   ]py3-aesedb-pyc-0.1.6-r3.apk2025-05-29 13:59 75K 
[   ]php81-openssl-8.1.33-r0.apk2025-07-03 00:13 75K 
[   ]highfive-2.10.1-r0.apk2025-01-15 03:47 75K 
[   ]libfishsound-doc-1.0.0-r1.apk2024-10-25 22:32 75K 
[   ]perl-io-lambda-1.34-r0.apk2024-10-25 22:34 75K 
[   ]py3-markdown2-pyc-2.5.0-r0.apk2024-10-25 22:34 75K 
[   ]ocaml-domain-name-0.4.0-r2.apk2024-10-25 22:33 76K 
[   ]mxclient-0_git20211002-r1.apk2024-10-25 22:32 76K 
[   ]hping3-20051105-r4.apk2024-10-25 22:31 76K 
[   ]fastd-23-r0.apk2025-01-27 22:51 76K 
[   ]py3-minio-7.2.13-r0.apk2024-12-25 19:44 76K 
[   ]elementary-music-8.0.0-r0.apk2024-10-28 23:06 76K 
[   ]ocaml-compiler-libs-repackaged-0.12.4-r3.apk2024-10-25 22:33 76K 
[   ]py3-doit-0.36.0-r5.apk2024-10-25 22:34 77K 
[   ]py3-osqp-pyc-0.6.2-r6.apk2024-10-25 22:34 77K 
[   ]soundconverter-pyc-4.1.0-r0.apk2025-06-24 18:41 77K 
[   ]ocaml-tsdl-image-dev-0.6-r0.apk2024-10-25 22:33 77K 
[   ]libiio-tools-0.25-r2.apk2024-10-25 22:32 77K 
[   ]ocaml-stringext-dev-1.6.0-r2.apk2024-10-25 22:33 77K 
[   ]py3-asyauth-0.0.21-r1.apk2025-05-29 13:59 77K 
[   ]monetdb-dev-11.33.11-r4.apk2024-10-25 22:32 77K 
[   ]tree-sitter-caddy-0_git20230322-r0.apk2024-10-25 22:36 77K 
[   ]csfml-dev-2.5.2-r0.apk2024-10-25 22:30 77K 
[   ]py3-apio-pyc-0.9.5-r0.apk2024-10-25 22:34 77K 
[   ]screenkey-1.5-r6.apk2024-10-25 22:35 77K 
[   ]libxo-dev-1.7.5-r0.apk2025-01-12 23:45 77K 
[   ]perl-dbix-class-schema-loader-doc-0.07053-r0.apk2025-06-09 18:27 77K 
[   ]cln-doc-1.3.7-r1.apk2025-05-25 09:50 77K 
[   ]wlroots0.17-dev-0.17.4-r1.apk2024-11-23 10:30 77K 
[   ]viewnior-1.8-r1.apk2024-10-25 22:36 77K 
[   ]nb-doc-7.19.1-r0.apk2025-05-25 00:10 77K 
[   ]curtail-lang-1.13.0-r0.apk2025-07-05 22:40 78K 
[   ]gammastep-lang-2.0.9-r3.apk2024-10-25 22:31 78K 
[   ]ocaml-lru-0.3.0-r2.apk2024-10-25 22:33 78K 
[   ]py3-nwdiag-pyc-3.0.0-r3.apk2024-10-25 22:34 78K 
[   ]fplll-dev-5.5.0-r0.apk2024-11-17 05:06 78K 
[   ]py3-youtube-search-1.6.6-r5.apk2025-05-14 20:13 78K 
[   ]ytmdl-pyc-2024.08.15.1-r1.apk2025-05-14 20:13 78K 
[   ]pypy-dev-7.3.12-r0.apk2024-10-25 22:34 78K 
[   ]ckb-next-daemon-0.6.2-r0.apk2025-03-19 14:12 78K 
[   ]php85-mysqlnd-8.5.0_alpha1-r0.apk2025-07-02 19:08 78K 
[   ]linuxptp-ptp4l-4.4-r0.apk2024-11-17 18:17 78K 
[   ]py3-pysimplesoap-pyc-1.16.2-r7.apk2024-10-25 22:34 78K 
[   ]ustr-debug-1.0.4-r1.apk2024-10-25 22:36 78K 
[   ]purple-facebook-0.9.6-r0.apk2024-10-25 22:34 78K 
[   ]libwbxml-0.11.8-r0.apk2024-10-25 22:32 78K 
[   ]reflex-20241231-r0.apk2025-06-21 09:06 79K 
[   ]tuned-doc-2.25.1-r2.apk2025-05-28 12:42 79K 
[   ]py3-solidpython-1.1.2-r2.apk2024-10-25 22:34 79K 
[   ]mimalloc1-insecure-1.9.3-r1.apk2025-05-18 18:00 79K 
[   ]surfraw-2.3.0-r0.apk2024-10-25 22:36 79K 
[   ]perl-algorithm-evolutionary-0.82.1-r0.apk2024-10-25 22:34 79K 
[   ]gearman-libs-1.1.21-r2.apk2025-05-22 08:53 79K 
[   ]flatseal-lang-2.3.1-r0.apk2025-06-19 17:30 79K 
[   ]libstirshaken-dev-0_git20240208-r4.apk2025-04-28 21:56 79K 
[   ]py3-shodan-pyc-1.31.0-r1.apk2024-10-25 22:34 79K 
[   ]atlantik-doc-3.5.10_git20240323-r0.apk2024-10-25 22:29 79K 
[   ]php85-openssl-8.5.0_alpha1-r0.apk2025-07-02 19:08 80K 
[   ]py3-ward-pyc-0.67.0_beta0-r2.apk2024-10-25 22:34 80K 
[   ]sdl3_image-3.2.4-r0.apk2025-03-24 21:18 80K 
[   ]kronosnet-1.30-r0.apk2025-05-28 01:08 80K 
[   ]hidrd-0.2.0_git20190603-r1.apk2024-10-25 22:31 80K 
[   ]php81-mysqlnd-8.1.33-r0.apk2025-07-03 00:13 80K 
[   ]ocaml-sha-1.15.4-r0.apk2024-10-25 22:33 80K 
[   ]musikcube-plugin-httpdatastream-3.0.4-r1.apk2025-01-26 20:32 80K 
[   ]reprotest-0.7.29-r0.apk2024-12-23 05:07 80K 
[   ]libeantic-2.1.0-r1.apk2025-06-13 06:58 80K 
[   ]cpplint-2.0.2-r0.apk2025-04-15 03:16 80K 
[   ]boxes-2.3.1-r0.apk2024-10-25 22:29 80K 
[   ]irccd-doc-4.0.3-r0.apk2024-10-25 22:31 80K 
[   ]mimedefang-doc-3.6-r0.apk2025-03-02 13:15 80K 
[   ]flowd-0.9.1-r11.apk2025-06-30 09:03 80K 
[   ]vit-2.3.2-r1.apk2024-10-25 22:37 80K 
[   ]lxappearance-lang-0.6.3-r3.apk2024-10-25 22:32 80K 
[   ]btpd-0.16-r2.apk2024-10-25 22:29 81K 
[   ]aide-0.18.8-r0.apk2024-10-25 22:29 81K 
[   ]perl-gtk2-ex-widgetbits-doc-48-r3.apk2024-10-25 22:34 81K 
[   ]py3-sphinx-theme-cloud-1.10.0-r2.apk2024-10-25 22:34 81K 
[   ]tk9-dev-9.0.2-r0.apk2025-07-03 10:12 81K 
[   ]lua5.1-luastatic-0.0.12-r1.apk2024-10-25 22:32 81K 
[   ]perl-net-amqp-rabbitmq-2.40012-r1.apk2025-06-30 09:03 82K 
[   ]py3-unearth-pyc-0.17.5-r0.apk2025-04-11 07:33 82K 
[   ]py3-pyparted-3.13.0-r1.apk2024-10-25 22:34 82K 
[   ]xa-2.4.1-r0.apk2025-02-25 13:36 82K 
[   ]py3-fastavro-pyc-1.11.1-r0.apk2025-05-19 11:14 82K 
[   ]silc-client-doc-1.1.11-r18.apk2025-06-30 09:03 82K 
[   ]ocaml-ethernet-dev-3.0.0-r3.apk2024-10-25 22:33 82K 
[   ]getssl-2.48-r0.apk2024-10-25 22:31 82K 
[   ]razercfg-0.42-r7.apk2024-10-25 22:34 82K 
[   ]perl-pango-doc-1.227-r12.apk2025-06-30 09:03 82K 
[   ]py3-winacl-0.1.9-r1.apk2025-05-29 13:59 83K 
[   ]libucl-dev-0.9.0-r0.apk2024-10-25 22:32 83K 
[   ]pantalaimon-pyc-0.10.5-r4.apk2024-10-25 22:34 83K 
[   ]ledmon-1.0.0-r0.apk2024-10-25 22:31 83K 
[   ]ocaml-logs-dev-0.7.0-r3.apk2024-10-25 22:33 83K 
[   ]elementary-sound-theme-1.1.0-r0.apk2024-11-10 23:08 83K 
[   ]thefuck-3.32-r5.apk2024-10-25 22:36 83K 
[   ]idevicerestore-1.0.0-r4.apk2024-10-30 23:45 83K 
[   ]bliss-0.77-r1.apk2024-10-25 22:29 83K 
[   ]libmustache-0.5.0-r1.apk2024-10-25 22:32 84K 
[   ]perl-net-idn-encode-2.500-r2.apk2025-06-30 09:03 84K 
[   ]gupnp-av-0.14.4-r0.apk2025-06-29 01:49 84K 
[   ]py3-asysocks-0.2.13-r1.apk2025-05-29 13:59 84K 
[   ]youtube-viewer-3.11.6-r0.apk2025-06-09 07:05 84K 
[   ]psftools-dev-1.1.2-r0.apk2024-10-25 22:34 84K 
[   ]errands-46.2.8-r0.apk2025-05-22 16:21 84K 
[   ]planarity-libs-4.0.0.0-r0.apk2025-03-19 14:13 84K 
[   ]perl-cairo-1.109-r5.apk2025-06-30 09:03 84K 
[   ]py3-gls-pyc-1.3.1-r1.apk2024-10-25 22:34 84K 
[   ]php81-opcache-8.1.33-r0.apk2025-07-03 00:13 84K 
[   ]openslide-3.4.1-r3.apk2024-10-25 22:34 84K 
[   ]opkg-libs-0.7.0-r0.apk2024-10-25 22:34 84K 
[   ]lomiri-action-api-1.2.0-r0.apk2025-04-11 07:48 85K 
[   ]mimalloc1-1.9.3-r1.apk2025-05-18 18:00 85K 
[   ]barman-doc-3.14.1-r0.apk2025-06-25 16:18 85K 
[   ]firehol-3.1.7-r2.apk2024-10-25 22:30 85K 
[   ]py3-marshmallow-pyc-3.26.1-r0.apk2025-02-21 23:39 85K 
[   ]viewnior-lang-1.8-r1.apk2024-10-25 22:36 85K 
[   ]p0f-3.09b-r3.apk2024-10-25 22:34 85K 
[   ]elementary-settings-daemon-8.3.0-r0.apk2025-06-14 20:10 85K 
[   ]elementary-videos-lang-8.0.1-r0.apk2024-11-10 23:06 85K 
[   ]neofetch-7.1.0-r2.apk2024-11-06 22:47 86K 
[   ]py3-flask-dbconfig-0.3.12-r8.apk2024-10-25 22:34 86K 
[   ]zapret-0.0.0_git20220125-r1.apk2024-10-25 22:37 86K 
[   ]perl-pango-1.227-r12.apk2025-06-30 09:03 86K 
[   ]aptdec-1.8.0-r1.apk2025-02-09 00:40 86K 
[   ]py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk2024-10-25 22:34 86K 
[   ]ocaml-iso8601-dev-0.2.6-r0.apk2024-10-25 22:33 86K 
[   ]arc-dark-gtk4-20221218-r0.apk2024-10-25 22:29 86K 
[   ]tcmu-runner-1.6.0-r6.apk2024-10-25 22:36 86K 
[   ]vim-airline-0.11-r0.apk2024-10-25 22:36 86K 
[   ]libmygpo-qt-1.1.0-r2.apk2024-10-25 22:32 87K 
[   ]tree-sitter-pascal-0.9.1-r0.apk2024-10-25 22:36 87K 
[   ]elementary-camera-8.0.1-r0.apk2025-05-13 08:38 87K 
[   ]pimd-3.0_git20220201-r0.apk2024-10-25 22:34 87K 
[   ]dbus-broker-37-r0.apk2025-06-17 12:17 87K 
[   ]ocaml-num-dev-1.4-r3.apk2024-10-25 22:33 87K 
[   ]ocaml-happy-eyeballs-0.3.0-r2.apk2024-10-25 22:33 87K 
[   ]py3-caldav-2.0.1-r0.apk2025-06-26 16:20 88K 
[   ]raku-http-hpack-1.0.3-r0.apk2025-06-07 09:42 88K 
[   ]gtkhash-1.5-r0.apk2025-03-10 19:17 88K 
[   ]libiscsi-utils-1.19.0-r2.apk2024-10-25 22:32 88K 
[   ]lfm-3.1-r4.apk2024-10-25 22:31 88K 
[   ]lavacli-pyc-2.4-r0.apk2025-06-14 20:10 88K 
[   ]cz-viator-hourglass-black-20210706-r0.apk2024-10-25 22:30 88K 
[   ]ocaml-arp-3.0.0-r3.apk2024-10-25 22:33 88K 
[   ]ccrtp-2.1.2-r0.apk2024-10-25 22:29 89K 
[   ]mongo-cxx-driver-dev-3.8.0-r0.apk2024-10-25 22:32 89K 
[   ]bananui-dev-2.0.0-r0.apk2024-10-25 22:29 89K 
[   ]py3-ovos-bus-client-pyc-1.3.4-r0.apk2025-04-25 07:14 89K 
[   ]ruby-coderay-1.1.3-r0.apk2025-05-26 01:56 89K 
[   ]ctorrent-dnh-3.3.2-r2.apk2024-10-25 22:30 89K 
[   ]freediameter-libfdproto-1.5.0-r1.apk2024-10-25 22:30 89K 
[   ]lua-lut-1.2.1-r0.apk2024-10-25 22:32 89K 
[   ]py3-fpdf-pyc-1.7.2-r5.apk2024-10-25 22:34 89K 
[   ]fusesoc-pyc-2.3-r0.apk2024-10-25 22:30 89K 
[   ]monopd-0.10.4-r0.apk2025-01-11 12:11 89K 
[   ]py3-pypubsub-pyc-4.0.3-r0.apk2024-10-25 22:34 90K 
[   ]ocaml-merlin-extend-dev-0.6.1-r2.apk2024-10-25 22:33 90K 
[   ]gammastep-2.0.9-r3.apk2024-10-25 22:31 90K 
[   ]perl-soap-lite-doc-1.27-r5.apk2024-10-25 22:34 90K 
[   ]py3-dogpile.cache-pyc-1.3.3-r1.apk2025-05-14 20:13 90K 
[   ]emacs-elfeed-3.4.2-r0.apk2025-04-23 07:10 91K 
[   ]quickjs-ng-0.10.1-r0.apk2025-05-16 19:13 91K 
[   ]ocaml-bigstringaf-dev-0.9.0-r2.apk2024-10-25 22:33 91K 
[   ]ocaml-libvirt-dev-0.6.1.7-r0.apk2024-10-25 22:33 91K 
[   ]py3-ovos-backend-client-pyc-1.0.0-r0.apk2024-10-25 22:34 91K 
[   ]py3-rosdistro-pyc-0.9.0-r3.apk2024-10-25 22:34 91K 
[   ]katarakt-0.2-r1.apk2025-01-29 19:50 91K 
[   ]ruby-build-20250507-r0.apk2025-05-15 15:21 91K 
[   ]lomiri-terminal-app-lang-2.0.5-r0.apk2025-05-09 19:32 91K 
[   ]ustr-dev-1.0.4-r1.apk2024-10-25 22:36 91K 
[   ]py3-pyatem-pyc-0.5.0-r4.apk2024-10-25 22:34 92K 
[   ]php85-opcache-8.5.0_alpha1-r0.apk2025-07-02 19:08 92K 
[   ]armagetronad-doc-0.2.9.1.1-r0.apk2024-10-25 22:29 92K 
[   ]xfce4-mixer-4.18.1-r2.apk2024-10-25 22:37 92K 
[   ]py3-ovos-workshop-3.4.0-r0.apk2025-05-26 14:10 92K 
[   ]ocaml-base64-3.5.0-r2.apk2024-10-25 22:33 92K 
[   ]libretro-pocketcdg-0_git20220327-r0.apk2024-10-25 22:32 92K 
[   ]ocaml-ptmap-dev-2.0.5-r3.apk2024-10-25 22:33 92K 
[   ]py3-unicrypto-pyc-0.0.10-r3.apk2025-05-29 13:59 92K 
[   ]createrepo_c-libs-1.1.4-r0.apk2024-10-25 22:30 92K 
[   ]video-trimmer-lang-25.03-r0.apk2025-06-30 15:45 93K 
[   ]libgivaro-4.2.0-r2.apk2024-10-25 22:32 93K 
[   ]elementary-dock-8.0.2-r0.apk2025-05-25 00:57 93K 
[   ]sqliteodbc-0.99991-r0.apk2024-10-25 22:36 93K 
[   ]libmhash-0.9.9.9-r3.apk2024-10-25 22:32 93K 
[   ]py3-mistletoe-pyc-1.4.0-r0.apk2025-03-19 14:13 93K 
[   ]libmdbx-dev-0.11.8-r0.apk2024-10-25 22:32 93K 
[   ]arc-dark-gtk3-20221218-r0.apk2024-10-25 22:29 93K 
[   ]gupnp-1.6.9-r0.apk2025-06-29 01:49 93K 
[   ]py3-xlwt-1.3.0-r10.apk2025-05-12 23:26 94K 
[   ]py3-ovos-plugin-manager-0.9.0-r0.apk2025-06-01 18:49 94K 
[   ]castero-pyc-0.9.5-r4.apk2025-05-14 20:13 94K 
[   ]duc-1.4.5-r0.apk2024-10-25 22:30 94K 
[   ]jdebp-redo-1.4-r1.apk2024-10-25 22:31 94K 
[   ]ocaml-uuseg-14.0.0-r2.apk2024-10-25 22:33 95K 
[   ]php84-pecl-solr-2.8.1-r0.apk2025-04-23 06:31 95K 
[   ]libiml-1.0.5-r3.apk2024-10-25 22:32 95K 
[   ]py3-mnemonic-0.21-r0.apk2024-10-25 22:34 95K 
[   ]py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk2024-11-21 14:31 95K 
[   ]moosefs-doc-4.56.6-r2.apk2025-06-19 11:30 95K 
[   ]liboggz-1.1.1-r2.apk2024-10-25 22:32 95K 
[   ]py3-soappy-pyc-0.52.30-r0.apk2024-12-03 00:04 95K 
[   ]ocaml-mew-dev-0.1.0-r3.apk2024-10-25 22:33 95K 
[   ]py3-youtube-search-pyc-1.6.6-r5.apk2025-05-14 20:13 95K 
[   ]py3-flask-peewee-pyc-3.0.6-r0.apk2024-10-25 22:34 95K 
[   ]libctl-4.5.1-r1.apk2024-10-25 22:32 96K 
[   ]py3-arcus-5.3.0-r5.apk2025-06-12 15:21 96K 
[   ]eclib-dev-20250627-r0.apk2025-06-28 08:13 96K 
[   ]rmlint-shredder-2.10.2-r2.apk2024-10-25 22:35 96K 
[   ]lumina-desktop-sudo-1.6.2-r0.apk2024-10-25 22:32 96K 
[   ]lomiri-ui-toolkit-lang-1.3.5110-r1.apk2025-06-28 19:26 97K 
[   ]ustr-doc-1.0.4-r1.apk2024-10-25 22:36 97K 
[   ]ocaml-fpath-dev-0.7.3-r2.apk2024-10-25 22:33 97K 
[   ]py3-pystache-pyc-0.6.5-r1.apk2024-10-25 22:34 97K 
[   ]ssdfs-tools-4.09-r0.apk2024-10-25 22:36 97K 
[   ]py3-chameleon-4.6.0-r0.apk2025-07-06 11:16 97K 
[   ]libiml-static-1.0.5-r3.apk2024-10-25 22:32 97K 
[   ]ocaml-xmlm-dev-1.4.0-r2.apk2024-10-25 22:33 97K 
[   ]py3-drf-yasg-pyc-1.21.7-r2.apk2024-10-25 22:34 97K 
[   ]perl-dbix-class-schema-loader-0.07053-r0.apk2025-06-09 18:27 97K 
[   ]ginac-doc-1.8.9-r0.apk2025-05-25 09:50 98K 
[   ]py3-avro-1.11.3-r1.apk2024-10-25 22:34 98K 
[   ]py3-transitions-0.9.2-r0.apk2024-10-25 22:34 98K 
[   ]lomiri-settings-components-lang-1.1.2-r0.apk2025-01-10 11:22 98K 
[   ]azote-pyc-1.14.0-r0.apk2024-12-14 21:38 98K 
[   ]mpdcron-0.3-r1.apk2024-10-25 22:32 98K 
[   ]py3-qpageview-0.6.2-r1.apk2024-10-25 22:34 98K 
[   ]u1db-qt-0.1.8-r0.apk2024-10-25 22:36 98K 
[   ]oblibs-dbg-0.3.4.0-r0.apk2025-06-01 17:50 98K 
[   ]zapret-doc-0.0.0_git20220125-r1.apk2024-10-25 22:37 98K 
[   ]predict-2.3.1-r0.apk2024-11-23 19:56 99K 
[   ]sblim-wbemcli-1.6.3-r1.apk2024-10-25 22:35 99K 
[   ]lua5.1-libguestfs-1.52.0-r3.apk2025-06-13 16:01 99K 
[   ]burp-doc-3.1.4-r0.apk2024-10-25 22:29 99K 
[   ]cpplint-pyc-2.0.2-r0.apk2025-04-15 03:16 99K 
[   ]perl-sys-virt-doc-11.1.0-r1.apk2025-06-30 09:03 100K 
[   ]py3-pyinstrument-pyc-5.0.3-r0.apk2025-07-02 19:17 100K 
[   ]ocaml-psq-0.2.0-r2.apk2024-10-25 22:33 100K 
[   ]libsemanage-3.6-r1.apk2024-10-25 22:32 100K 
[   ]lomiri-notifications-1.3.1-r0.apk2025-01-10 11:22 100K 
[   ]dooit-pyc-3.2.2-r0.apk2025-05-16 09:27 100K 
[   ]caffeine-ng-4.2.0-r1.apk2024-10-25 22:29 100K 
[   ]ocaml-ssl-0.7.0-r0.apk2024-10-25 22:33 101K 
[   ]sshuttle-pyc-1.1.2-r0.apk2024-10-25 22:36 101K 
[   ]hdf4-dev-4.2.15-r2.apk2024-10-25 22:31 101K 
[   ]hyprland-plugins-hyprbars-0.49.0-r0.apk2025-05-24 22:10 101K 
[   ]urlwatch-pyc-2.28-r2.apk2024-10-25 22:36 101K 
[   ]singular-emacs-4.4.1_p2-r0.apk2025-07-02 19:17 102K 
[   ]otf-atkinson-hyperlegible-2020.0514-r1.apk2024-10-25 22:34 102K 
[   ]openscap-daemon-pyc-0.1.10-r9.apk2024-10-25 22:34 102K 
[   ]tachyon-0.99_beta6-r1.apk2024-10-25 22:36 102K 
[   ]hatch-1.14.1-r0.apk2025-04-10 15:31 102K 
[   ]csfml-2.5.2-r0.apk2024-10-25 22:30 102K 
[   ]py3-catkin-pkg-pyc-0.5.2-r4.apk2024-10-25 22:34 103K 
[   ]py3-qdldl-0.1.5-r4.apk2024-10-25 22:34 103K 
[   ]reprotest-pyc-0.7.29-r0.apk2024-12-23 05:07 103K 
[   ]py3-ovos-classifiers-0.0.0_alpha53-r0.apk2024-10-25 22:34 103K 
[   ]ocaml-compiler-libs-repackaged-dev-0.12.4-r3.apk2024-10-25 22:33 103K 
[   ]nm-tray-0.5.0-r0.apk2024-10-25 22:33 103K 
[   ]rizin-cutter-dev-2.4.1-r0.apk2025-06-30 18:51 103K 
[   ]perl-libapreq2-2.17-r3.apk2025-06-30 09:03 103K 
[   ]prosody-mod-register_json-0.11_hg20201208-r0.apk2024-10-25 22:34 104K 
[   ]k2-0_git20220807-r1.apk2024-10-25 22:31 104K 
[   ]py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk2024-10-25 22:34 104K 
[   ]libmhash-dev-0.9.9.9-r3.apk2024-10-25 22:32 104K 
[   ]catfish-pyc-4.20.1-r0.apk2025-07-07 21:19 104K 
[   ]getmail6-pyc-6.19.08-r0.apk2025-05-15 11:51 104K 
[   ]pithos-1.6.1-r0.apk2024-10-25 22:34 104K 
[   ]libgivaro-static-4.2.0-r2.apk2024-10-25 22:32 105K 
[   ]libsbsms-2.3.0-r0.apk2024-10-25 22:32 105K 
[   ]avarice-2.14-r4.apk2024-10-25 22:29 105K 
[   ]policycoreutils-lang-3.6-r1.apk2024-10-25 22:34 105K 
[   ]h4h5tools-static-2.2.5-r4.apk2024-10-25 22:31 106K 
[   ]66-tools-dbg-0.1.2.0-r0.apk2025-06-01 17:50 106K 
[   ]libofx-tools-0.10.9-r1.apk2024-10-25 22:32 106K 
[   ]raku-file-which-1.0.4-r0.apk2025-06-08 18:40 106K 
[   ]kronosnet-doc-1.30-r0.apk2025-05-28 01:08 106K 
[   ]lomiri-gallery-app-lang-3.0.2-r1.apk2025-03-19 14:13 106K 
[   ]fceux-doc-2.6.6-r2.apk2024-10-25 22:30 106K 
[   ]qdjango-0.6.2-r1.apk2024-10-25 22:34 106K 
[   ]transmission-remote-gtk-lang-1.6.0-r0.apk2024-10-25 22:36 106K 
[   ]libabigail-tools-2.3-r0.apk2024-10-25 22:32 106K 
[   ]py3-cdio-2.1.1-r6.apk2025-01-20 21:42 106K 
[   ]py3-ncclient-pyc-0.6.13-r5.apk2024-10-25 22:34 107K 
[   ]perl-software-license-0.104007-r0.apk2025-07-08 18:53 107K 
[   ]py3-hg-git-pyc-1.1.1-r1.apk2024-10-25 22:34 107K 
[   ]crispy-doom-doc-7.0-r0.apk2024-10-25 22:30 107K 
[   ]soundconverter-lang-4.1.0-r0.apk2025-06-24 18:41 107K 
[   ]py3-lsprotocol-pyc-2023.0.1-r1.apk2024-10-25 22:34 107K 
[   ]otrs-setup-6.0.48-r2.apk2024-10-25 22:34 107K 
[   ]ocaml-tsdl-ttf-dev-0.6-r0.apk2024-10-25 22:33 107K 
[   ]tree-sitter-dart-0_git20250228-r0.apk2025-03-19 14:13 108K 
[   ]lomiri-telephony-service-lang-0.6.1-r3.apk2025-07-03 17:31 108K 
[   ]way-displays-1.14.1-r0.apk2025-07-05 18:46 108K 
[   ]mediastreamer2-doc-5.3.100-r1.apk2025-06-01 17:50 108K 
[   ]py3-joserfc-pyc-1.2.1-r0.apk2025-07-10 21:45 108K 
[   ]handlebars-1.0.0-r1.apk2024-10-25 22:31 108K 
[   ]raku-meta6-0.0.30-r0.apk2025-06-07 09:42 108K 
[   ]ocaml-charinfo_width-1.1.0-r3.apk2024-10-25 22:33 108K 
[   ]ruri-3.8-r0.apk2025-06-24 04:43 109K 
[   ]py3-dnslib-pyc-0.9.25-r0.apk2024-10-25 22:34 109K 
[   ]py3-pyinstrument-5.0.3-r0.apk2025-07-02 19:17 109K 
[   ]h4h5tools-2.2.5-r4.apk2024-10-25 22:31 109K 
[   ]raku-crypt-random-0.4.1-r0.apk2025-06-07 09:42 109K 
[   ]py3-lxmf-pyc-0.7.1-r0.apk2025-05-27 23:33 109K 
[   ]icingaweb2-module-businessprocess-2.5.1-r0.apk2025-07-02 08:39 109K 
[   ]mediastreamer2-dev-5.3.100-r1.apk2025-06-01 17:50 110K 
[   ]py3-langcodes-pyc-3.3.0-r2.apk2024-10-25 22:34 110K 
[   ]font-cousine-0_git20210228-r0.apk2024-10-25 22:30 110K 
[   ]hypnotix-3.5-r0.apk2024-10-25 22:31 110K 
[   ]arc-darker-gtk4-20221218-r0.apk2024-10-25 22:29 110K 
[   ]nbsdgames-5-r0.apk2024-10-25 22:32 110K 
[   ]perl-soap-lite-1.27-r5.apk2024-10-25 22:34 110K 
[   ]libfoma-0.10.0_git20240712-r0.apk2024-10-25 22:32 110K 
[   ]ocaml-easy-format-dev-1.3.4-r1.apk2024-10-25 22:33 111K 
[   ]xtl-0.7.7-r0.apk2024-10-25 22:37 111K 
[   ]maxima-emacs-5.47.0-r9.apk2025-07-07 23:30 111K 
[   ]py3-wstools-pyc-0.4.10-r7.apk2024-10-25 22:34 111K 
[   ]fungw-fawk-1.2.1-r0.apk2024-12-30 10:48 111K 
[   ]emacs-embark-1.1-r0.apk2025-04-23 07:43 111K 
[   ]menumaker-0.99.14-r1.apk2024-10-25 22:32 111K 
[   ]ttfautohint-libs-1.8.4-r0.apk2024-10-25 22:36 111K 
[   ]wf-config-0.9.0-r0.apk2025-02-27 21:28 111K 
[   ]belr-5.3.105-r0.apk2025-02-25 13:52 111K 
[   ]py3-litex-hub-valentyusb-2024.04-r0.apk2024-10-25 22:34 112K 
[   ]compiz-pyc-0.9.14.2-r11.apk2025-06-12 15:21 112K 
[   ]opkg-dev-0.7.0-r0.apk2024-10-25 22:34 112K 
[   ]py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk2024-10-25 22:34 112K 
[   ]libnih-dev-1.0.3-r7.apk2024-10-25 22:32 112K 
[   ]libnih-1.0.3-r7.apk2024-10-25 22:32 112K 
[   ]moe-1.14-r0.apk2024-10-25 22:32 113K 
[   ]pyradio-doc-0.9.3.11-r0.apk2024-10-25 22:34 113K 
[   ]arc-lighter-gtk4-20221218-r0.apk2024-10-25 22:29 113K 
[   ]ocaml-mirage-flow-3.0.0-r3.apk2024-10-25 22:33 113K 
[   ]catdoc-0.95-r1.apk2024-10-25 22:29 113K 
[   ]anarch-1.0-r1.apk2024-10-25 22:29 113K 
[   ]whipper-0.10.0-r5.apk2024-10-25 22:37 113K 
[   ]x11docker-7.6.0-r1.apk2024-10-25 22:37 113K 
[   ]arc-gtk4-20221218-r0.apk2024-10-25 22:29 114K 
[   ]interception-tools-0.6.8-r2.apk2024-10-25 22:31 114K 
[   ]wayqt-0.3.0-r0.apk2025-04-21 23:41 114K 
[   ]ocaml-ptime-1.0.0-r2.apk2024-10-25 22:33 115K 
[   ]py3-flask-restaction-0.25.3-r8.apk2024-10-25 22:34 115K 
[   ]ruby-libguestfs-1.52.0-r3.apk2025-06-13 16:01 115K 
[   ]htslib-dev-1.19-r0.apk2024-10-25 22:31 115K 
[   ]elementary-videos-8.0.1-r0.apk2024-11-10 23:06 115K 
[   ]hyprland-qt-support-0.1.0-r0.apk2025-05-24 22:06 116K 
[   ]lizardfs-3.13.0-r17.apk2025-06-19 11:30 116K 
[   ]android-file-transfer-cli-4.5-r0.apk2025-06-25 01:03 116K 
[   ]libretro-xrick-0_git20220331-r0.apk2024-10-25 22:32 116K 
[   ]hamster-time-tracker-doc-3.0.3-r2.apk2024-10-25 22:31 116K 
[   ]detox-2.0.0-r0.apk2024-10-25 22:30 117K 
[   ]py3-osqp-0.6.2-r6.apk2024-10-25 22:34 117K 
[   ]cluster-glue-libs-1.0.12-r5.apk2024-10-25 22:30 117K 
[   ]compiz-dev-0.9.14.2-r11.apk2025-06-12 15:21 117K 
[   ]py3-caldav-pyc-2.0.1-r0.apk2025-06-26 16:20 118K 
[   ]primesieve-libs-12.8-r0.apk2025-04-29 23:50 118K 
[   ]py3-spnego-0.11.2-r0.apk2025-01-16 08:52 118K 
[   ]uucp-doc-1.07-r6.apk2024-10-25 22:36 118K 
[   ]ocaml-camlzip-1.11-r2.apk2024-10-25 22:33 118K 
[   ]ocaml-fmt-dev-0.9.0-r2.apk2024-10-25 22:33 118K 
[   ]bliss-dev-0.77-r1.apk2024-10-25 22:29 118K 
[   ]font-firamath-0.3.4-r0.apk2024-10-25 22:30 118K 
[   ]libbraiding-1.3.1-r0.apk2024-11-17 05:06 118K 
[   ]ocaml-logs-0.7.0-r3.apk2024-10-25 22:33 119K 
[   ]tomcat9-admin-9.0.105-r0.apk2025-06-08 18:42 119K 
[   ]rosdep-pyc-0.19.0-r6.apk2024-10-25 22:35 119K 
[   ]ocaml-eqaf-dev-0.8-r2.apk2024-10-25 22:33 119K 
[   ]py3-scs-3.2.3-r4.apk2024-10-25 22:34 119K 
[   ]perl-git-raw-doc-0.90-r4.apk2025-06-30 09:03 119K 
[   ]php81-phar-8.1.33-r0.apk2025-07-03 00:13 119K 
[   ]php85-phar-8.5.0_alpha1-r0.apk2025-07-02 19:08 120K 
[   ]py3-solidpython-pyc-1.1.2-r2.apk2024-10-25 22:34 120K 
[   ]faust-tools-2.79.3-r0.apk2025-06-07 19:02 120K 
[   ]otpclient-4.0.2-r1.apk2025-06-12 15:21 121K 
[   ]vcdimager-dev-2.0.1-r5.apk2025-01-22 11:33 121K 
[   ]moosefs-cgi-4.56.6-r2.apk2025-06-19 11:30 121K 
[   ]drogon-dev-1.9.4-r2.apk2025-05-22 08:53 121K 
[   ]perl-dbix-class-helpers-doc-2.037000-r0.apk2024-11-17 05:06 121K 
[   ]shellinabox-2.21-r3.apk2024-10-25 22:36 121K 
[   ]ocaml-lwt_react-5.7.0-r0.apk2024-10-25 22:33 123K 
[   ]py3-edalize-0.5.4-r0.apk2024-10-25 22:34 123K 
[   ]py3-m2crypto-pyc-0.41.0-r2.apk2024-10-25 22:34 123K 
[   ]php81-pecl-imagick-3.8.0-r0.apk2025-04-10 22:03 123K 
[   ]libvisio2svg-utils-0.5.5-r3.apk2024-10-25 22:32 123K 
[   ]ocaml-jsonm-1.0.2-r0.apk2024-10-25 22:33 123K 
[   ]py3-jupyterlab_server-2.27.3-r0.apk2025-03-19 14:13 124K 
[   ]arc-darker-gtk3-20221218-r0.apk2024-10-25 22:29 124K 
[   ]hw-probe-1.6.6-r2.apk2025-06-30 09:03 124K 
[   ]py3-ovos-utils-pyc-0.5.4-r0.apk2024-11-25 13:47 124K 
[   ]gcli-2.6.1-r0.apk2025-01-26 18:29 124K 
[   ]rmlint-shredder-pyc-2.10.2-r2.apk2024-10-25 22:35 124K 
[   ]arc-lighter-gtk3-20221218-r0.apk2024-10-25 22:29 125K 
[   ]libsbsms-dev-2.3.0-r0.apk2024-10-25 22:32 125K 
[   ]atomicparsley-20240608-r0.apk2024-10-25 22:29 125K 
[   ]py3-kazoo-0_git20211202-r4.apk2024-10-25 22:34 125K 
[   ]sbase-0_git20210730-r3.apk2024-10-25 22:35 125K 
[   ]zile-2.6.2-r1.apk2024-10-25 22:37 126K 
[   ]lumina-desktop-photo-1.6.2-r0.apk2024-10-25 22:32 126K 
[   ]ruby-byebug-11.1.3-r0.apk2025-05-26 01:56 126K 
[   ]arc-gtk3-20221218-r0.apk2024-10-25 22:29 126K 
[   ]granite7-7.5.0-r0.apk2024-10-25 22:31 126K 
[   ]topgit-0.19.13-r1.apk2024-10-25 22:36 127K 
[   ]py3-cchardet-2.1.7-r5.apk2024-10-25 22:34 127K 
[   ]cdist-pyc-7.0.0-r6.apk2024-10-25 22:29 128K 
[   ]osmctools-0.9-r0.apk2024-10-25 22:34 128K 
[   ]xosview-1.24-r0.apk2024-10-25 22:37 128K 
[   ]catfish-4.20.1-r0.apk2025-07-07 21:19 128K 
[   ]zfsbootmenu-2.3.0-r1.apk2024-10-25 22:37 128K 
[   ]py3-github3-4.0.1-r1.apk2024-10-25 22:34 128K 
[   ]py3-minikerberos-0.4.6-r1.apk2025-05-29 13:59 128K 
[   ]barnyard2-2.1.14_git20160413-r1.apk2024-10-25 22:29 129K 
[   ]py3-transitions-pyc-0.9.2-r0.apk2024-10-25 22:34 129K 
[   ]libretro-dinothawr-0_git20220401-r0.apk2024-10-25 22:32 129K 
[   ]py3-dbus-fast-pyc-2.44.1-r0.apk2025-05-02 07:27 129K 
[   ]py3-minidump-pyc-0.0.24-r1.apk2025-05-29 13:59 129K 
[   ]libm4ri-20240729-r2.apk2025-01-15 18:59 129K 
[   ]modem-manager-gui-lang-0.0.20-r0.apk2024-10-25 22:32 129K 
[   ]wayfire-dev-0.9.0-r0.apk2025-02-27 21:28 129K 
[   ]typobuster-1.0.0-r0.apk2025-04-24 01:13 129K 
[   ]libvoikko-4.3.2-r1.apk2024-10-25 22:32 131K 
[   ]py3-chameleon-pyc-4.6.0-r0.apk2025-07-06 11:16 131K 
[   ]hidrd-dev-0.2.0_git20190603-r1.apk2024-10-25 22:31 131K 
[   ]bdfr-2.6.2-r1.apk2024-10-25 22:29 131K 
[   ]raku-docker-file-1.1-r0.apk2025-06-07 09:42 131K 
[   ]deviced-0_git20250427-r0.apk2025-07-05 22:04 131K 
[   ]py3-winacl-pyc-0.1.9-r1.apk2025-05-29 13:59 131K 
[   ]nullmailer-2.2-r4.apk2024-10-25 22:33 131K 
[   ]ocaml-integers-0.7.0-r2.apk2024-10-25 22:33 131K 
[   ]nymphcast-mediaserver-0.1-r3.apk2024-10-25 22:33 132K 
[   ]debconf-lang-1.5.82-r0.apk2024-10-25 22:30 132K 
[   ]cgiirc-0.5.12-r1.apk2024-10-25 22:29 132K 
[   ]tpm2-pkcs11-1.9.1-r0.apk2024-10-25 22:36 133K 
[   ]boinc-screensaver-7.24.3-r0.apk2024-10-25 22:29 133K 
[   ]py3-doit-pyc-0.36.0-r5.apk2024-10-25 22:34 133K 
[   ]lfm-pyc-3.1-r4.apk2024-10-25 22:31 134K 
[   ]liboggz-doc-1.1.1-r2.apk2024-10-25 22:32 134K 
[   ]perl-mce-1.901-r0.apk2025-01-03 05:20 134K 
[   ]android-file-transfer-libs-4.5-r0.apk2025-06-25 01:03 134K 
[   ]minigalaxy-pyc-1.4.0-r0.apk2025-07-11 05:36 135K 
[   ]php82-pecl-teds-1.3.0-r0.apk2024-10-25 22:34 135K 
[   ]subliminal-pyc-2.2.1-r1.apk2025-05-14 20:13 135K 
[   ]php81-gd-8.1.33-r0.apk2025-07-03 00:13 135K 
[   ]log4cxx-dev-1.1.0-r3.apk2025-02-14 16:28 135K 
[   ]perl-html-formhandler-0.40068-r0.apk2025-05-01 08:30 135K 
[   ]hyprland-qtutils-0.1.3-r0.apk2025-05-24 22:06 135K 
[   ]ocaml-lwt_log-1.1.1-r5.apk2024-10-25 22:33 136K 
[   ]b2-tools-pyc-4.3.2-r0.apk2025-05-03 11:48 136K 
[   ]bootchart2-0.14.9-r0.apk2025-01-03 11:13 137K 
[   ]emacs-consult-1.4_git20240405-r0.apk2024-10-25 22:30 138K 
[   ]php85-gd-8.5.0_alpha1-r0.apk2025-07-02 19:08 138K 
[   ]php81-soap-8.1.33-r0.apk2025-07-03 00:13 138K 
[   ]getmail6-doc-6.19.08-r0.apk2025-05-15 11:51 138K 
[   ]bochs-doc-2.8-r1.apk2025-02-20 19:32 139K 
[   ]wolfssh-1.4.17-r0.apk2024-10-25 22:37 139K 
[   ]py3-cmd2-2.4.3-r2.apk2024-10-25 22:34 139K 
[   ]ocaml-domain-name-dev-0.4.0-r2.apk2024-10-25 22:33 140K 
[   ]motion-doc-4.7.0-r0.apk2024-10-25 22:32 140K 
[   ]brltty-dev-6.7-r1.apk2025-03-28 17:12 140K 
[   ]libm4ri-static-20240729-r2.apk2025-01-15 18:59 140K 
[   ]neard-0.19-r0.apk2024-10-25 22:32 141K 
[   ]libtins-dev-4.5-r1.apk2024-10-25 22:32 141K 
[   ]mapnik-doc-4.0.6-r1.apk2025-06-10 12:21 142K 
[   ]lizardfs-metalogger-3.13.0-r17.apk2025-06-19 11:30 142K 
[   ]ocaml-fpath-0.7.3-r2.apk2024-10-25 22:33 142K 
[   ]py3-migen-0.9.2-r2.apk2024-10-25 22:34 143K 
[   ]libsemanage-dev-3.6-r1.apk2024-10-25 22:32 143K 
[   ]nomadnet-0.7.0-r0.apk2025-05-27 23:33 143K 
[   ]pnmixer-0.7.2-r3.apk2024-10-25 22:34 143K 
[   ]py3-msldap-0.5.15-r1.apk2025-05-29 13:59 143K 
[   ]obnc-0.17.2-r0.apk2025-05-26 01:37 143K 
[   ]py3-pika-1.3.2-r1.apk2024-10-25 22:34 143K 
[   ]qml-box2d-0_git20180406-r0.apk2024-10-25 22:34 143K 
[   ]remake-1.5-r1.apk2024-10-25 22:34 144K 
[   ]py3-poppler-qt5-21.3.0-r2.apk2025-01-29 19:50 144K 
[   ]py3-marisa-trie-1.2.1-r0.apk2024-11-11 14:12 144K 
[   ]grip-lang-4.2.4-r0.apk2024-10-25 22:31 144K 
[   ]tinygltf-2.9.6-r0.apk2025-06-08 18:42 145K 
[   ]slidge-0.1.3-r0.apk2024-10-25 22:36 145K 
[   ]ocaml-mikmatch-1.0.9-r2.apk2024-10-25 22:33 145K 
[   ]php85-soap-8.5.0_alpha1-r0.apk2025-07-02 19:08 145K 
[   ]font-fira-code-vf-6.2-r0.apk2024-10-25 22:30 145K 
[   ]rmlint-2.10.2-r2.apk2024-10-25 22:35 146K 
[   ]php81-intl-8.1.33-r0.apk2025-07-03 00:13 146K 
[   ]motion-4.7.0-r0.apk2024-10-25 22:32 147K 
[   ]py3-pelican-pyc-4.9.1-r2.apk2024-10-25 22:34 147K 
[   ]ocaml-happy-eyeballs-dev-0.3.0-r2.apk2024-10-25 22:33 147K 
[   ]ocaml-curses-1.0.10-r2.apk2024-10-25 22:33 147K 
[   ]perl-algorithm-evolutionary-doc-0.82.1-r0.apk2024-10-25 22:34 148K 
[   ]perl-ffi-platypus-doc-2.10-r1.apk2025-06-30 09:03 148K 
[   ]rauc-1.10.1-r0.apk2024-10-25 22:34 148K 
[   ]openswitcher-0.5.0-r4.apk2024-10-25 22:34 148K 
[   ]brltty-lang-6.7-r1.apk2025-03-28 17:12 149K 
[   ]py3-blockdiag-pyc-3.0.0-r6.apk2025-01-10 13:29 149K 
[   ]php85-dom-8.5.0_alpha1-r0.apk2025-07-02 19:08 149K 
[   ]perl-catalyst-runtime-5.90132-r0.apk2025-03-19 14:13 150K 
[   ]transmission-remote-gtk-1.6.0-r0.apk2024-10-25 22:36 151K 
[   ]vit-pyc-2.3.2-r1.apk2024-10-25 22:37 151K 
[   ]php81-pecl-xdebug-3.4.4-r0.apk2025-06-15 00:57 152K 
[   ]php85-pecl-xdebug-3.4.4-r0.apk2025-07-02 20:59 152K 
[   ]nb-7.19.1-r0.apk2025-05-25 00:10 152K 
[   ]libsimplebluez-0.10.3-r0.apk2025-07-11 04:17 152K 
[   ]malcontent-0.12.0-r0.apk2025-05-08 13:05 153K 
[   ]w_scan2-1.0.17-r0.apk2025-06-11 18:42 153K 
[   ]pithos-pyc-1.6.1-r0.apk2024-10-25 22:34 154K 
[   ]heisenbridge-pyc-1.15.3-r0.apk2025-04-20 07:03 155K 
[   ]steghide-0.5.1.1-r0.apk2024-10-25 22:36 155K 
[   ]py3-cssutils-2.11.1-r1.apk2024-10-25 22:34 155K 
[   ]ocaml-pcre-7.5.0-r4.apk2024-10-25 22:33 156K 
[   ]php81-pecl-protobuf-4.30.0-r0.apk2025-03-05 19:38 156K 
[   ]thefuck-pyc-3.32-r5.apk2024-10-25 22:36 156K 
[   ]hamster-time-tracker-3.0.3-r2.apk2024-10-25 22:31 156K 
[   ]gatling-0.16-r6.apk2024-10-25 22:31 156K 
[   ]py3-simplesat-pyc-0.8.2-r0.apk2024-10-25 22:34 157K 
[   ]smplxmpp-0.9.3-r4.apk2024-12-18 12:42 157K 
[   ]sdparm-1.12-r1.apk2024-10-25 22:36 158K 
[   ]ocaml-arp-dev-3.0.0-r3.apk2024-10-25 22:33 158K 
[   ]tuned-2.25.1-r2.apk2025-05-28 12:42 159K 
[   ]arj-0_git20220125-r1.apk2024-10-25 22:29 159K 
[   ]ocaml-calendar-dev-2.04-r4.apk2024-10-25 22:33 159K 
[   ]libntl-dev-11.5.1-r4.apk2024-10-25 22:32 159K 
[   ]mimedefang-3.6-r0.apk2025-03-02 13:15 159K 
[   ]ocaml-ocplib-endian-1.2-r3.apk2024-10-25 22:33 159K 
[   ]py3-opendht-3.1.11-r0.apk2025-01-27 21:56 160K 
[   ]mir-demos-2.21.0-r1.apk2025-06-29 02:33 160K 
[   ]py3-minio-pyc-7.2.13-r0.apk2024-12-25 19:44 160K 
[   ]lumina-desktop-fileinfo-1.6.2-r0.apk2024-10-25 22:32 161K 
[   ]ocaml-mqtt-0.2.2-r0.apk2024-10-25 22:33 161K 
[   ]cscope-15.9-r1.apk2024-10-25 22:30 161K 
[   ]ttfautohint-dev-1.8.4-r0.apk2024-10-25 22:36 161K 
[   ]ocaml-lru-dev-0.3.0-r2.apk2024-10-25 22:33 161K 
[   ]py3-freetype-py-2.5.1-r0.apk2024-10-25 22:34 161K 
[   ]libbamf-0.5.6-r1.apk2024-10-25 22:32 161K 
[   ]click-0.5.2-r4.apk2025-02-14 16:28 162K 
[   ]perl-dancer2-1.1.2-r0.apk2024-12-28 05:54 163K 
[   ]py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk2024-10-25 22:34 164K 
[   ]fava-pyc-1.28-r0.apk2024-10-25 22:30 164K 
[   ]soundconverter-4.1.0-r0.apk2025-06-24 18:41 165K 
[   ]py3-xlwt-pyc-1.3.0-r10.apk2025-05-12 23:26 165K 
[   ]srain-1.8.1-r0.apk2025-06-26 20:41 165K 
[   ]liblastfm-qt-1.1.10_git20190823-r3.apk2024-10-25 22:32 165K 
[   ]ustr-static-1.0.4-r1.apk2024-10-25 22:36 165K 
[   ]lumina-desktop-archiver-1.6.2-r0.apk2024-10-25 22:32 165K 
[   ]py3-ovos-workshop-pyc-3.4.0-r0.apk2025-05-26 14:10 166K 
[   ]zutty-0.16-r0.apk2025-01-12 22:27 166K 
[   ]libstirshaken-tools-0_git20240208-r4.apk2025-04-28 21:56 167K 
[   ]catfish-lang-4.20.1-r0.apk2025-07-07 21:19 167K 
[   ]libzrtpcpp-4.7.0-r0.apk2025-01-04 22:55 167K 
[   ]ocaml-uucd-dev-14.0.0-r2.apk2024-10-25 22:33 167K 
[   ]libemf2svg-1.1.0-r2.apk2024-10-25 22:32 168K 
[   ]lomiri-ui-toolkit-dev-1.3.5110-r1.apk2025-06-28 19:26 168K 
[   ]dsp-2.0-r0.apk2025-05-12 06:54 168K 
[   ]freediameter-libfdcore-1.5.0-r1.apk2024-10-25 22:30 168K 
[   ]makedumpfile-1.7.7-r0.apk2025-04-22 16:31 169K 
[   ]lumina-desktop-screenshot-1.6.2-r0.apk2024-10-25 22:32 169K 
[   ]py3-asyauth-pyc-0.0.21-r1.apk2025-05-29 13:59 169K 
[   ]perl-mce-doc-1.901-r0.apk2025-01-03 05:20 170K 
[   ]ocaml-fix-20220121-r2.apk2024-10-25 22:33 170K 
[   ]lomiri-filemanager-app-lang-1.0.4-r1.apk2025-03-19 14:13 171K 
[   ]olsrd-0.9.8-r3.apk2024-10-25 22:33 171K 
[   ]trace-cmd-doc-3.3.1-r1.apk2025-01-19 12:58 171K 
[   ]ocaml-psq-dev-0.2.0-r2.apk2024-10-25 22:33 171K 
[   ]bananui-dbg-2.0.0-r0.apk2024-10-25 22:29 172K 
[   ]youtube-viewer-gtk-3.11.6-r0.apk2025-06-09 07:05 172K 
[   ]trace-cmd-3.3.1-r1.apk2025-01-19 12:58 172K 
[   ]py3-flask-peewee-3.0.6-r0.apk2024-10-25 22:34 172K 
[   ]lomiri-libusermetrics-1.3.3-r1.apk2025-02-14 16:28 172K 
[   ]ace-of-penguins-1.4-r3.apk2024-10-25 22:29 172K 
[   ]ocaml-astring-dev-0.8.5-r2.apk2024-10-25 22:33 173K 
[   ]raku-cbor-simple-0.1.4-r0.apk2025-06-07 09:42 173K 
[   ]commoncpp-dev-7.0.1-r1.apk2024-10-25 22:30 173K 
[   ]primecount-libs-7.17-r0.apk2025-05-16 16:32 173K 
[   ]py3-langcodes-3.3.0-r2.apk2024-10-25 22:34 174K 
[   ]libretro-frodo-0_git20221221-r0.apk2024-10-25 22:32 174K 
[   ]ocaml-base64-dev-3.5.0-r2.apk2024-10-25 22:33 174K 
[   ]burp-3.1.4-r0.apk2024-10-25 22:29 174K 
[   ]qqc2-suru-style-0.20230206-r1.apk2024-10-25 22:34 174K 
[   ]perl-extutils-makemaker-7.70-r2.apk2024-10-25 22:34 175K 
[   ]click-pyc-0.5.2-r4.apk2025-02-14 16:28 175K 
[   ]ocaml-cairo2-0.6.2-r2.apk2024-10-25 22:33 175K 
[   ]libretro-opera-0_git20211214-r0.apk2024-10-25 22:32 175K 
[   ]ptpd-2.3.1-r1.apk2024-10-25 22:34 175K 
[   ]kdiskmark-3.2.0-r0.apk2025-06-30 18:46 175K 
[   ]ocaml-sexplib0-0.16.0-r0.apk2024-10-25 22:33 175K 
[   ]wolfssh-dev-1.4.17-r0.apk2024-10-25 22:37 176K 
[   ]tinyemu-2019.12.21-r0.apk2025-05-26 01:36 176K 
[   ]liboggz-dev-1.1.1-r2.apk2024-10-25 22:32 177K 
[   ]ocaml-libvirt-0.6.1.7-r0.apk2024-10-25 22:33 178K 
[   ]py3-tlslite-ng-0.7.6-r8.apk2024-10-25 22:34 179K 
[   ]py3-netmiko-4.5.0-r0.apk2025-02-13 08:33 179K 
[   ]py3-apicula-pyc-0.11.1-r1.apk2024-10-25 22:34 179K 
[   ]hyfetch-pyc-1.99.0-r1.apk2024-10-25 22:31 180K 
[   ]py3-qpageview-pyc-0.6.2-r1.apk2024-10-25 22:34 180K 
[   ]ocaml-angstrom-0.16.0-r0.apk2024-10-25 22:33 180K 
[   ]linkchecker-10.5.0-r0.apk2025-05-19 01:05 181K 
[   ]py3-pdal-3.4.5-r1.apk2025-06-21 23:14 181K 
[   ]py3-ovos-plugin-manager-pyc-0.9.0-r0.apk2025-06-01 18:49 181K 
[   ]pympress-1.8.5-r1.apk2024-10-25 22:34 181K 
[   ]gearmand-1.1.21-r2.apk2025-05-22 08:53 181K 
[   ]libxo-1.7.5-r0.apk2025-01-12 23:45 182K 
[   ]sedutil-1.15.1-r1.apk2024-10-25 22:36 182K 
[   ]pympress-pyc-1.8.5-r1.apk2024-10-25 22:34 182K 
[   ]par2cmdline-turbo-1.3.0-r0.apk2025-05-08 13:56 182K 
[   ]perl-git-raw-0.90-r4.apk2025-06-30 09:03 183K 
[   ]tcl9-dev-9.0.2-r0.apk2025-07-03 10:12 184K 
[   ]olsrd-plugins-0.9.8-r3.apk2024-10-25 22:33 184K 
[   ]ocaml-react-dev-1.2.2-r2.apk2024-10-25 22:33 184K 
[   ]py3-html5-parser-0.4.12-r1.apk2024-10-25 22:34 184K 
[   ]libretro-gw-0_git20220410-r0.apk2024-10-25 22:32 185K 
[   ]php85-intl-8.5.0_alpha1-r0.apk2025-07-02 19:08 185K 
[   ]mjpg-streamer-0_git20210220-r2.apk2025-05-14 17:03 185K 
[   ]whipper-pyc-0.10.0-r5.apk2024-10-25 22:37 185K 
[   ]ocaml-charinfo_width-dev-1.1.0-r3.apk2024-10-25 22:33 186K 
[   ]openjdk22-doc-22.0.2_p9-r3.apk2025-02-14 16:28 186K 
[   ]guake-pyc-3.10-r1.apk2024-10-25 22:31 186K 
[   ]pyinfra-3.2-r0.apk2025-01-23 03:45 186K 
[   ]gearmand-doc-1.1.21-r2.apk2025-05-22 08:53 186K 
[   ]mongo-cxx-driver-3.8.0-r0.apk2024-10-25 22:32 186K 
[   ]openjdk23-doc-23.0.2_p7-r1.apk2025-02-14 16:28 187K 
[   ]py3-ly-0.9.8-r1.apk2024-10-25 22:34 187K 
[   ]turntable-0.3.3-r0.apk2025-05-27 15:01 187K 
[   ]guake-lang-3.10-r1.apk2024-10-25 22:31 188K 
[   ]anari-sdk-static-0.7.2-r0.apk2024-10-25 22:29 188K 
[   ]libretro-mu-0_git20220317-r0.apk2024-10-25 22:32 188K 
[   ]perl-ffi-platypus-2.10-r1.apk2025-06-30 09:03 189K 
[   ]hiawatha-11.6-r1.apk2025-05-27 13:08 189K 
[   ]ocaml-mew_vi-0.5.0-r3.apk2024-10-25 22:33 189K 
[   ]py3-xsdata-25.7-r0.apk2025-07-07 22:47 190K 
[   ]libvmaf-dev-3.0.0-r0.apk2024-10-25 22:32 190K 
[   ]nitro-dev-2.7_beta8-r2.apk2024-10-25 22:33 190K 
[   ]dnssec-tools-dev-2.2.3-r13.apk2025-06-30 09:03 190K 
[   ]py3-edalize-pyc-0.5.4-r0.apk2024-10-25 22:34 190K 
[   ]corosync-doc-3.1.9-r0.apk2025-05-29 05:16 190K 
[   ]66-doc-0.8.2.1-r0.apk2025-06-03 21:11 190K 
[   ]py3-confluent-kafka-2.9.0-r0.apk2025-05-15 11:44 190K 
[   ]ocaml-mirage-flow-dev-3.0.0-r3.apk2024-10-25 22:33 191K 
[   ]zvbi-0.2.44-r0.apk2025-03-19 14:14 191K 
[   ]opendht-3.1.11-r0.apk2025-01-27 21:56 191K 
[   ]py3-avro-pyc-1.11.3-r1.apk2024-10-25 22:34 191K 
[   ]php81-pecl-timezonedb-2025.2-r0.apk2025-03-27 12:06 194K 
[   ]lumina-desktop-textedit-1.6.2-r0.apk2024-10-25 22:32 194K 
[   ]rtaudio-doc-6.0.1-r0.apk2025-04-18 01:03 194K 
[   ]glfw-wayland-dbg-3.3.8-r3.apk2024-10-25 22:31 194K 
[   ]ocaml-lambdasoup-0.7.3-r2.apk2024-10-25 22:33 194K 
[   ]py3-owslib-0.34.0-r0.apk2025-06-08 18:55 195K 
[   ]spread-sheet-widget-dbg-0.10-r0.apk2024-10-25 22:36 195K 
[   ]libm4rie-20200125-r5.apk2025-01-15 18:59 195K 
[   ]py3-libguestfs-1.52.0-r3.apk2025-06-13 16:01 196K 
[   ]lomiri-indicator-network-lang-1.1.1-r0.apk2025-05-09 19:32 196K 
[   ]ocaml-fmt-0.9.0-r2.apk2024-10-25 22:33 196K 
[   ]nlopt-2.10.0-r1.apk2025-06-04 13:19 196K 
[   ]linux-apfs-rw-src-0.3.8-r0.apk2024-10-25 22:32 197K 
[   ]minigalaxy-1.4.0-r0.apk2025-07-11 05:36 197K 
[   ]font-tinos-0_git20210228-r0.apk2024-10-25 22:30 199K 
[   ]endeavour-43.0-r2.apk2024-12-08 22:41 199K 
[   ]qt6ct-0.9-r4.apk2025-07-09 09:57 199K 
[   ]hdf4-tools-4.2.15-r2.apk2024-10-25 22:31 199K 
[   ]lumina-desktop-mediaplayer-1.6.2-r0.apk2024-10-25 22:32 200K 
[   ]lcalc-2.1.0-r0.apk2025-05-03 10:30 201K 
[   ]soapy-sdr-remote-0.5.2-r1.apk2024-10-25 22:36 201K 
[   ]android-file-transfer-4.5-r0.apk2025-06-25 01:03 202K 
[   ]remake-doc-1.5-r1.apk2024-10-25 22:34 202K 
[   ]libretro-gme-0_git20240628-r0.apk2024-10-25 22:32 202K 
[   ]aufs-util-20161219-r3.apk2024-10-25 22:29 203K 
[   ]endeavour-lang-43.0-r2.apk2024-12-08 22:41 203K 
[   ]plplot-libs-5.15.0-r2.apk2024-10-25 22:34 203K 
[   ]csfml-doc-2.5.2-r0.apk2024-10-25 22:30 204K 
[   ]ocaml-omod-dev-0.0.3-r3.apk2024-10-25 22:33 205K 
[   ]font-fontawesome-4-4.7.0-r3.apk2024-10-25 22:30 205K 
[   ]libettercap-0.8.3.1-r3.apk2024-10-25 22:32 205K 
[   ]hamster-time-tracker-lang-3.0.3-r2.apk2024-10-25 22:31 206K 
[   ]mspdebug-0.25-r1.apk2024-10-25 22:32 207K 
[   ]moosefs-chunkserver-4.56.6-r2.apk2025-06-19 11:30 207K 
[   ]mint-y-theme-xfwm4-2.1.1-r0.apk2024-10-25 22:32 207K 
[   ]mrsh-dbg-0_git20210518-r1.apk2024-10-25 22:32 208K 
[   ]py3-litex-hub-pythondata-cpu-marocchino-2024.04-r0.apk2024-10-25 22:34 208K 
[   ]mimalloc1-debug-1.9.3-r1.apk2025-05-18 18:00 208K 
[   ]ocaml-ssl-dev-0.7.0-r0.apk2024-10-25 22:33 209K 
[   ]hfst-dev-3.16.2-r0.apk2025-03-28 17:12 209K 
[   ]php81-pecl-redis-6.2.0-r0.apk2025-03-27 11:58 212K 
[   ]snapper-lang-0.12.2-r0.apk2025-05-06 14:27 212K 
[   ]py3-m2crypto-0.41.0-r2.apk2024-10-25 22:34 213K 
[   ]php81-pecl-swoole-dev-6.0.2-r0.apk2025-03-26 19:30 213K 
[   ]raku-terminal-quickcharts-0.0.2-r0.apk2025-06-08 18:40 214K 
[   ]py3-simplesat-0.8.2-r0.apk2024-10-25 22:34 214K 
[   ]libsimpleble-0.10.3-r0.apk2025-07-11 04:17 214K 
[   ]perl-module-generic-doc-0.43.3-r0.apk2025-04-23 06:31 214K 
[   ]py3-b2sdk-2.8.1-r0.apk2025-05-03 11:48 215K 
[   ]krdp-6.4.2-r0.apk2025-07-05 20:59 215K 
[   ]warpinator-1.8.8-r3.apk2025-06-11 20:38 216K 
[   ]hatch-pyc-1.14.1-r0.apk2025-04-10 15:31 216K 
[   ]py3-dateparser-1.2.2-r0.apk2025-07-06 21:46 216K 
[   ]perl-sys-virt-11.1.0-r1.apk2025-06-30 09:03 216K 
[   ]perl-catalyst-runtime-doc-5.90132-r0.apk2025-03-19 14:13 216K 
[   ]guetzli-0_git20191025-r2.apk2025-05-25 09:50 217K 
[   ]belcard-libs-5.3.105-r0.apk2025-02-25 13:48 218K 
[   ]boinc-libs-7.24.3-r0.apk2024-10-25 22:29 218K 
[   ]ocaml-camlzip-dev-1.11-r2.apk2024-10-25 22:33 218K 
[   ]ruby-facter-4.9.0-r1.apk2025-04-23 22:23 218K 
[   ]java-jtharness-examples-6.0_p12-r0.apk2024-10-25 22:31 219K 
[   ]py3-spnego-pyc-0.11.2-r0.apk2025-01-16 08:52 219K 
[   ]py3-cryptg-0.5.0-r0.apk2025-05-08 13:05 220K 
[   ]nuklear-4.12.0-r0.apk2024-10-25 22:33 220K 
[   ]cddlib-0.94m-r2.apk2024-10-25 22:29 220K 
[   ]syncthing-gtk-pyc-0.9.4.5-r2.apk2024-10-25 22:36 221K 
[   ]lomiri-settings-components-1.1.2-r0.apk2025-01-10 11:22 221K 
[   ]py3-duniterpy-1.1.1-r3.apk2024-10-25 22:34 221K 
[   ]py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk2024-10-25 22:34 221K 
[   ]libm4rie-static-20200125-r5.apk2025-01-15 18:59 222K 
[   ]warpinator-lang-1.8.8-r3.apk2025-06-11 20:38 222K 
[   ]py3-cmd2-pyc-2.4.3-r2.apk2024-10-25 22:34 223K 
[   ]raku-protocol-mqtt-0.0.4-r0.apk2025-06-08 18:40 223K 
[   ]py3-netifaces2-0.0.22-r0.apk2024-10-25 22:34 224K 
[   ]amber-mpris-1.2.9-r0.apk2024-12-22 17:47 225K 
[   ]ocaml-xml-light-2.5-r0.apk2024-10-25 22:33 225K 
[   ]py3-confluent-kafka-pyc-2.9.0-r0.apk2025-05-15 11:44 227K 
[   ]py3-flask-security-pyc-5.6.1-r0.apk2025-04-21 23:40 227K 
[   ]py3-github3-pyc-4.0.1-r1.apk2024-10-25 22:34 227K 
[   ]ocaml-calendar-2.04-r4.apk2024-10-25 22:33 227K 
[   ]lomiri-libusermetrics-doc-1.3.3-r1.apk2025-02-14 16:28 228K 
[   ]ocaml-cmdliner-dev-1.1.1-r3.apk2024-10-25 22:33 228K 
[   ]lomiri-thumbnailer-3.0.4-r2.apk2025-02-14 16:28 228K 
[   ]getting-things-gnome-lang-0.6-r4.apk2024-12-08 22:41 229K 
[   ]py3-asysocks-pyc-0.2.13-r1.apk2025-05-29 13:59 229K 
[   ]py3-imdbpy-2021.4.18-r5.apk2024-10-25 22:34 229K 
[   ]parcellite-1.2.5-r0.apk2024-10-25 22:34 229K 
[   ]lomiri-clock-app-4.1.1-r0.apk2025-05-09 19:32 230K 
[   ]ocaml-magic-mime-1.3.1-r0.apk2024-10-25 22:33 230K 
[   ]py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0.apk2024-10-25 22:34 230K 
[   ]kgraphviewer-lang-2.5.0-r0.apk2024-10-25 22:31 230K 
[   ]icingaweb2-module-fileshipper-doc-1.2.0-r3.apk2025-07-02 08:39 231K 
[   ]chocolate-doom-doc-3.1.0-r0.apk2024-10-25 22:29 231K 
[   ]shadowsocks-libev-3.3.5-r4.apk2024-10-25 22:36 231K 
[   ]cosmic-icons-1.0.0_alpha7-r0.apk2025-04-25 07:14 231K 
[   ]php81-pecl-xlswriter-1.5.8-r0.apk2024-11-11 02:47 233K 
[   ]py3-pelican-4.9.1-r2.apk2024-10-25 22:34 234K 
[   ]qt5ct-1.8-r0.apk2024-10-25 22:34 234K 
[   ]ocaml-uunf-dev-14.0.0-r2.apk2024-10-25 22:33 235K 
[   ]py3-tpm2-pytss-pyc-2.3.0-r1.apk2024-10-25 22:34 236K 
[   ]scap-workbench-1.2.1-r3.apk2024-10-25 22:35 236K 
[   ]sigma-0.23.1-r1.apk2024-10-25 22:36 237K 
[   ]py3-scrapy-2.11.1-r1.apk2024-10-25 22:34 240K 
[   ]libzvbi-0.2.44-r0.apk2025-03-19 14:13 240K 
[   ]py3-imdbpy-pyc-2021.4.18-r5.apk2024-10-25 22:34 243K 
[   ]tup-0.7.11-r1.apk2025-06-19 11:30 243K 
[   ]lcalc-libs-2.1.0-r0.apk2025-05-03 10:30 243K 
[   ]objconv-2.52_git20210213-r2.apk2024-10-25 22:33 243K 
[   ]py3-okonomiyaki-pyc-2.0.0-r0.apk2024-10-25 22:34 243K 
[   ]libgivaro-dev-4.2.0-r2.apk2024-10-25 22:32 244K 
[   ]py3-kazoo-pyc-0_git20211202-r4.apk2024-10-25 22:34 245K 
[   ]trantor-1.5.18-r0.apk2024-10-25 22:36 245K 
[   ]py3-pika-pyc-1.3.2-r1.apk2024-10-25 22:34 246K 
[   ]purple-hangouts-0_git20200422-r0.apk2024-10-25 22:34 246K 
[   ]libretro-blastem-0_git20210810-r0.apk2024-10-25 22:32 248K 
[   ]mkdocs-rtd-dropdown-1.0.2-r5.apk2024-10-25 22:32 248K 
[   ]mkdocs-cinder-1.2.0-r5.apk2024-10-25 22:32 249K 
[   ]font-comic-neue-2.51-r0.apk2024-10-25 22:30 249K 
[   ]linphone-dev-5.3.38-r0.apk2024-10-25 22:32 250K 
[   ]oblibs-dev-0.3.4.0-r0.apk2025-06-01 17:50 250K 
[   ]ocaml-sha-dev-1.15.4-r0.apk2024-10-25 22:33 251K 
[   ]mkosi-25.3-r0.apk2025-02-01 23:32 251K 
[   ]font-commit-mono-1.143-r0.apk2024-10-25 22:30 251K 
[   ]ocaml-mqtt-dev-0.2.2-r0.apk2024-10-25 22:33 252K 
[   ]ocaml-lwt_log-dev-1.1.1-r5.apk2024-10-25 22:33 253K 
[   ]perl-badger-0.16-r0.apk2025-06-15 16:50 253K 
[   ]speedtest-5.2.5-r1.apk2024-10-25 22:36 253K 
[   ]wlroots0.12-0.12.0-r1.apk2024-10-25 22:37 254K 
[   ]linkchecker-pyc-10.5.0-r0.apk2025-05-19 01:05 254K 
[   ]ocaml-metrics-0.4.0-r3.apk2024-10-25 22:33 254K 
[   ]avra-dev-1.4.2-r0.apk2024-10-25 22:29 255K 
[   ]ocaml-ocplib-endian-dev-1.2-r3.apk2024-10-25 22:33 255K 
[   ]libretro-cannonball-0_git20220309-r6.apk2024-10-25 22:32 256K 
[   ]dcmtk-doc-3.6.9-r0.apk2025-01-19 18:09 257K 
[   ]appcenter-lang-8.0.0-r0.apk2024-11-12 22:55 258K 
[   ]py3-slidge-style-parser-0.1.9-r0.apk2025-04-15 03:17 259K 
[   ]opmsg-1.84-r1.apk2024-10-25 22:34 259K 
[   ]vera++-1.3.0-r10.apk2024-10-25 22:36 259K 
[   ]edit-1.2.0-r0.apk2025-06-12 15:21 259K 
[   ]timew-1.4.3-r1.apk2024-10-25 22:36 260K 
[   ]tmate-2.4.0-r4.apk2024-10-25 22:36 260K 
[   ]river-luatile-0.1.4-r0.apk2025-05-19 14:03 260K 
[   ]perl-badger-doc-0.16-r0.apk2025-06-15 16:50 260K 
[   ]mkdocs-bootstrap4-0.1.5-r5.apk2024-10-25 22:32 260K 
[   ]cluster-glue-1.0.12-r5.apk2024-10-25 22:30 260K 
[   ]platformio-core-6.1.7-r3.apk2024-10-25 22:34 263K 
[   ]font-anonymous-pro-1.002-r2.apk2024-10-25 22:30 264K 
[   ]hdf4-4.2.15-r2.apk2024-10-25 22:31 264K 
[   ]bluez-tools-0_git20201025-r0.apk2025-06-09 10:49 265K 
[   ]ocaml-integers-dev-0.7.0-r2.apk2024-10-25 22:33 266K 
[   ]xdg-desktop-portal-hyprland-1.3.3-r0.apk2024-10-25 22:37 267K 
[   ]ocaml-num-1.4-r3.apk2024-10-25 22:33 267K 
[   ]restinio-dev-0.6.19-r1.apk2024-12-14 20:04 268K 
[   ]py3-minikerberos-pyc-0.4.6-r1.apk2025-05-29 13:59 268K 
[   ]nwg-panel-pyc-0.10.9-r0.apk2025-07-08 08:06 269K 
[   ]lomiri-ui-extras-0.7.0-r0.apk2025-04-11 07:48 269K 
[   ]py3-iso639-lang-2.2.3-r0.apk2024-10-25 22:34 269K 
[   ]pam-pkcs11-0.6.13-r0.apk2025-06-10 21:03 269K 
[   ]lomiri-lang-0.5.0-r0.apk2025-05-09 19:32 269K 
[   ]jotdown-0.7.0-r0.apk2025-03-19 14:12 270K 
[   ]gede-2.18.2-r1.apk2024-10-25 22:31 271K 
[   ]lynis-3.1.1-r0.apk2024-10-25 22:32 271K 
[   ]nwipe-0.38-r0.apk2025-06-19 21:05 272K 
[   ]perl-module-generic-0.43.3-r0.apk2025-04-23 06:31 272K 
[   ]hardinfo2-lang-2.2.10-r0.apk2025-05-19 00:17 273K 
[   ]timewarrior-1.7.1-r0.apk2024-10-25 22:36 274K 
[   ]biboumi-9.0-r8.apk2025-05-28 23:37 275K 
[   ]py3-anyascii-0.3.2-r1.apk2024-10-25 22:34 275K 
[   ]py3-tlslite-ng-pyc-0.7.6-r8.apk2024-10-25 22:34 275K 
[   ]komikku-lang-1.81.0-r0.apk2025-07-10 22:33 275K 
[   ]raku-io-socket-async-ssl-0.8.2-r0.apk2025-06-07 09:42 276K 
[   ]gaupol-1.12-r2.apk2024-10-25 22:31 276K 
[   ]py3-pynest2d-5.2.2-r5.apk2025-02-06 05:36 276K 
[   ]gaupol-lang-1.12-r2.apk2024-10-25 22:31 277K 
[   ]masky-0.2.0-r2.apk2025-05-29 13:59 277K 
[   ]irccd-4.0.3-r0.apk2024-10-25 22:31 277K 
[   ]raku-log-timeline-0.5.2-r0.apk2025-06-07 09:42 278K 
[   ]py3-cssutils-pyc-2.11.1-r1.apk2024-10-25 22:34 279K 
[   ]lsmash-2.14.5-r2.apk2024-10-25 22:32 279K 
[   ]mediascanner2-0.115-r3.apk2025-03-19 14:13 280K 
[   ]corosync-3.1.9-r0.apk2025-05-29 05:16 281K 
[   ]amule-doc-2.3.3-r13.apk2024-10-25 22:29 281K 
[   ]font-intel-one-mono-1.3.0-r0.apk2024-10-25 22:30 281K 
[   ]nwg-panel-0.10.9-r0.apk2025-07-08 08:06 282K 
[   ]ocaml-uucd-14.0.0-r2.apk2024-10-25 22:33 283K 
[   ]vectoroids-1.1.0-r2.apk2024-10-25 22:36 283K 
[   ]nomadnet-pyc-0.7.0-r0.apk2025-05-27 23:33 284K 
[   ]psftools-1.1.2-r0.apk2024-10-25 22:34 285K 
[   ]gpscorrelate-doc-2.3-r0.apk2025-03-27 07:36 285K 
[   ]slidge-pyc-0.1.3-r0.apk2024-10-25 22:36 285K 
[   ]py3-cassandra-driver-3.29.2-r0.apk2024-10-25 22:34 286K 
[   ]advancescan-1.18-r1.apk2024-10-25 22:29 286K 
[   ]moosefs-4.56.6-r2.apk2025-06-19 11:30 286K 
[   ]perl-imager-doc-1.028-r1.apk2025-06-30 09:03 286K 
[   ]libfyaml-0.9-r0.apk2024-10-25 22:32 287K 
[   ]phoronix-test-suite-doc-10.8.4-r2.apk2024-10-25 22:34 287K 
[   ]devil-1.8.0-r0.apk2024-10-25 22:30 289K 
[   ]ocaml-react-1.2.2-r2.apk2024-10-25 22:33 289K 
[   ]stardict-lang-3.0.6-r6.apk2024-10-25 22:36 290K 
[   ]cddlib-static-0.94m-r2.apk2024-10-25 22:29 290K 
[   ]toybox-0.8.11-r1.apk2024-10-25 22:36 291K 
[   ]emacs-ement-0.16-r0.apk2025-04-23 07:10 291K 
[   ]libzvbi-static-0.2.44-r0.apk2025-03-19 14:13 291K 
[   ]lomiri-content-hub-2.1.0-r0.apk2025-04-15 03:17 291K 
[   ]libretro-crocods-0_git20210314-r1.apk2024-10-25 22:32 292K 
[   ]sfwbar-1.0_beta16-r1.apk2024-12-12 20:02 292K 
[   ]bees-0.10-r2.apk2024-10-25 22:29 292K 
[   ]ocaml-astring-0.8.5-r2.apk2024-10-25 22:33 292K 
[   ]py3-python-stdnum-pyc-1.20-r0.apk2024-10-25 22:34 293K 
[   ]ocaml-otr-0.3.10-r2.apk2024-10-25 22:33 294K 
[   ]py3-flask-security-5.6.1-r0.apk2025-04-21 23:40 295K 
[   ]ocaml-mew_vi-dev-0.5.0-r3.apk2024-10-25 22:33 295K 
[   ]ngs-0.2.14-r0.apk2024-10-25 22:33 295K 
[   ]py3-migen-pyc-0.9.2-r2.apk2024-10-25 22:34 296K 
[   ]percona-toolkit-doc-3.5.4-r1.apk2024-10-25 22:34 298K 
[   ]mir-test-tools-2.21.0-r1.apk2025-06-29 02:33 298K 
[   ]xvkbd-4.1-r2.apk2024-10-25 22:37 298K 
[   ]libqofono-qt5-0.124-r0.apk2025-01-10 13:02 298K 
[   ]ocaml-conduit-6.1.0-r0.apk2024-10-25 22:33 298K 
[   ]libretro-cap32-0_git20220419-r0.apk2024-10-25 22:32 300K 
[   ]perl-dancer2-doc-1.1.2-r0.apk2024-12-28 05:54 301K 
[   ]trigger-rally-0.6.7-r3.apk2025-03-25 16:17 302K 
[   ]libretro-nxengine-0_git20220301-r0.apk2024-10-25 22:32 302K 
[   ]pypy3-tkinter-7.3.12-r0.apk2024-10-25 22:34 302K 
[   ]tree-sitter-haskell-0.23.1-r0.apk2025-01-09 16:53 302K 
[   ]commoncpp-7.0.1-r1.apk2024-10-25 22:30 303K 
[   ]murex-doc-7.0.2107-r1.apk2025-07-10 21:45 304K 
[   ]ocaml-pcre-dev-7.5.0-r4.apk2024-10-25 22:33 304K 
[   ]apache2-mod-perl-doc-2.0.13-r2.apk2025-06-30 09:03 304K 
[   ]perl-libintl-perl-1.35-r0.apk2025-01-16 14:35 305K 
[   ]uasm-2.56.2-r0.apk2024-10-25 22:36 305K 
[   ]libre-3.23.0-r0.apk2025-06-27 09:13 305K 
[   ]guake-3.10-r1.apk2024-10-25 22:31 305K 
[   ]mergerfs-2.40.2-r1.apk2024-10-25 22:32 306K 
[   ]botan2-doc-2.19.5-r0.apk2025-05-28 23:37 306K 
[   ]wlroots0.15-0.15.1-r6.apk2024-10-25 22:37 308K 
[   ]anari-sdk-0.7.2-r0.apk2024-10-25 22:29 309K 
[   ]ocaml-curses-dev-1.0.10-r2.apk2024-10-25 22:33 310K 
[   ]gstreamermm-dev-1.10.0-r6.apk2025-02-14 16:28 310K 
[   ]plplot-doc-5.15.0-r2.apk2024-10-25 22:34 311K 
[   ]botan2-dev-2.19.5-r0.apk2025-05-28 23:37 311K 
[   ]flint-dev-3.3.1-r0.apk2025-06-17 06:29 311K 
[   ]66-0.8.2.1-r0.apk2025-06-03 21:11 312K 
[   ]py3-xdoctest-1.2.0-r0.apk2024-11-21 14:31 312K 
[   ]welle-cli-2.7-r0.apk2025-04-07 20:04 313K 
[   ]virtualgl-doc-3.1.3-r0.apk2025-04-21 23:45 314K 
[   ]postgresql-pgmq-1.1.1-r1.apk2024-10-25 22:34 314K 
[   ]libspatialindex-2.1.0-r0.apk2025-05-31 12:07 315K 
[   ]pypykatz-0.6.11-r1.apk2025-05-29 13:59 315K 
[   ]csmith-2.3.0-r2.apk2024-10-25 22:30 316K 
[   ]font-fantasque-sans-normal-1.8.0-r0.apk2024-10-25 22:30 316K 
[   ]font-fantasque-sans-largelineheight-1.8.0-r0.apk2024-10-25 22:30 316K 
[   ]font-fantasque-sans-noloopk-1.8.0-r0.apk2024-10-25 22:30 316K 
[   ]font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk2024-10-25 22:30 316K 
[   ]dnssec-tools-doc-2.2.3-r13.apk2025-06-30 09:03 317K 
[   ]libretro-atari800-0_git20240924-r0.apk2024-10-25 22:32 318K 
[   ]ocaml-notty-0.2.3-r0.apk2024-10-25 22:33 320K 
[   ]ocaml-fileutils-0.6.4-r2.apk2024-10-25 22:33 321K 
[   ]monetdb-doc-11.33.11-r4.apk2024-10-25 22:32 321K 
[   ]ocaml-ocf-dev-0.8.0-r3.apk2024-10-25 22:33 321K 
[   ]minisatip-1.3.4-r0.apk2024-10-25 22:32 322K 
[   ]rizin-dev-0.8.1-r0.apk2025-06-30 18:51 323K 
[   ]libretro-beetle-pcfx-0_git20220409-r0.apk2024-10-25 22:32 323K 
[   ]ocaml-ipaddr-5.3.1-r2.apk2024-10-25 22:33 323K 
[   ]perl-html-formhandler-doc-0.40068-r0.apk2025-05-01 08:30 323K 
[   ]ocaml-asn1-combinators-0.2.6-r2.apk2024-10-25 22:33 324K 
[   ]biometryd-0.3.1-r7.apk2025-02-14 16:28 325K 
[   ]guestfs-tools-1.52.0-r3.apk2025-06-13 16:01 326K 
[   ]ocaml-magic-mime-dev-1.3.1-r0.apk2024-10-25 22:33 326K 
[   ]fileshelter-6.2.0-r3.apk2025-02-25 07:47 327K 
[   ]ocaml-gen-1.1-r1.apk2024-10-25 22:33 330K 
[   ]py3-msldap-pyc-0.5.15-r1.apk2025-05-29 13:59 330K 
[   ]rclone-browser-1.8.0-r1.apk2024-10-25 22:34 330K 
[   ]ocaml-sexplib0-dev-0.16.0-r0.apk2024-10-25 22:33 331K 
[   ]libtins-4.5-r1.apk2024-10-25 22:32 332K 
[   ]py3-eventlet-0.38.1-r0.apk2024-12-11 22:41 332K 
[   ]tuned-pyc-2.25.1-r2.apk2025-05-28 12:42 333K 
[   ]perl-snmp-info-3.972002-r0.apk2024-10-30 06:59 333K 
[   ]diceware-1.0.1-r0.apk2025-01-13 23:50 334K 
[   ]nfcd-1.2.2-r0.apk2025-05-08 13:05 334K 
[   ]libsemigroups-dev-2.7.3-r1.apk2024-12-14 20:04 335K 
[   ]ocaml-angstrom-dev-0.16.0-r0.apk2024-10-25 22:33 335K 
[   ]py3-dateparser-pyc-1.2.2-r0.apk2025-07-06 21:46 335K 
[   ]py3-eventlet-pyc-0.38.1-r0.apk2024-12-11 22:41 336K 
[   ]lxqt-wayland-session-0.2.0-r0.apk2025-05-25 00:16 336K 
[   ]ocaml-bos-dev-0.2.1-r2.apk2024-10-25 22:33 336K 
[   ]libvmaf-3.0.0-r0.apk2024-10-25 22:32 336K 
[   ]libsigrokdecode-0.5.3-r4.apk2024-10-25 22:32 336K 
[   ]coxeter-libs-3.0-r1.apk2024-10-25 22:30 337K 
[   ]php81-pear-8.1.33-r0.apk2025-07-03 00:13 338K 
[   ]php85-pear-8.5.0_alpha1-r0.apk2025-07-02 19:08 338K 
[   ]timoni-doc-0.23.0-r6.apk2025-07-10 21:45 338K 
[   ]sigma-pyc-0.23.1-r1.apk2024-10-25 22:36 340K 
[   ]ocaml-lambdasoup-dev-0.7.3-r2.apk2024-10-25 22:33 340K 
[   ]projectm-sdl-3.1.12-r2.apk2024-10-25 22:34 340K 
[   ]py3-tpm2-pytss-2.3.0-r1.apk2024-10-25 22:34 341K 
[   ]xgalaga-2.1.1.0-r1.apk2024-10-25 22:37 341K 
[   ]litehtml-0.9-r2.apk2025-02-14 16:28 342K 
[   ]ocaml-omod-0.0.3-r3.apk2024-10-25 22:33 342K 
[   ]lomiri-filemanager-app-1.0.4-r1.apk2025-03-19 14:13 343K 
[   ]py3-rns-0.9.6-r0.apk2025-05-27 23:33 344K 
[   ]modem-manager-gui-0.0.20-r0.apk2024-10-25 22:32 344K 
[   ]lizardfs-chunkserver-3.13.0-r17.apk2025-06-19 11:30 344K 
[   ]fflas-ffpack-2.5.0-r3.apk2024-10-25 22:30 345K 
[   ]libguestfs-1.52.0-r3.apk2025-06-13 16:01 346K 
[   ]openwsman-libs-2.8.1-r1.apk2025-06-30 09:03 346K 
[   ]eclib-20250627-r0.apk2025-06-28 08:13 347K 
[   ]py3-netmiko-pyc-4.5.0-r0.apk2025-02-13 08:33 348K 
[   ]lomiri-app-launch-0.1.12-r1.apk2025-06-28 19:26 348K 
[   ]perl-html-object-0.5.1-r0.apk2024-10-25 22:34 348K 
[   ]pympress-doc-1.8.5-r1.apk2024-10-25 22:34 348K 
[   ]pyinfra-pyc-3.2-r0.apk2025-01-23 03:45 349K 
[   ]ocaml-utop-2.9.1-r4.apk2024-10-25 22:33 349K 
[   ]foma-0.10.0_git20240712-r0.apk2024-10-25 22:30 350K 
[   ]xml2rfc-3.28.1-r0.apk2025-04-18 13:01 352K 
[   ]ocaml-down-dev-0.1.0-r3.apk2024-10-25 22:33 352K 
[   ]py3-ly-pyc-0.9.8-r1.apk2024-10-25 22:34 355K 
[   ]vile-common-9.8z_p1-r1.apk2025-06-30 09:04 355K 
[   ]gnome-user-share-48.1-r0.apk2025-06-30 00:30 355K 
[   ]perl-dbix-class-0.082844-r0.apk2025-01-16 18:26 355K 
[   ]vile-doc-9.8z_p1-r1.apk2025-06-30 09:04 357K 
[   ]font-aref-ruqaa-1.006-r0.apk2025-04-15 03:16 357K 
[   ]py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk2024-10-25 22:34 358K 
[   ]hamster-time-tracker-pyc-3.0.3-r2.apk2024-10-25 22:31 358K 
[   ]py3-flask-admin-pyc-1.6.1-r3.apk2024-10-25 22:34 358K 
[   ]singular-dev-4.4.1_p2-r0.apk2025-07-02 19:17 359K 
[   ]py3-pycaption-2.2.15-r0.apk2024-10-25 22:34 360K 
[   ]ocaml-biniou-dev-1.2.1-r5.apk2024-10-25 22:33 361K 
[   ]cutechess-cli-1.3.1-r0.apk2024-10-25 22:30 361K 
[   ]planner-0.14.92-r1.apk2024-12-08 22:41 361K 
[   ]sndfile-tools-doc-1.5-r1.apk2024-10-25 22:36 361K 
[   ]diskus-0.8.0-r0.apk2025-05-19 00:15 361K 
[   ]barman-3.14.1-r0.apk2025-06-25 16:18 361K 
[   ]lomiri-history-service-0.6-r8.apk2025-07-03 17:31 363K 
[   ]ircd-hybrid-8.2.47-r0.apk2025-04-05 05:49 364K 
[   ]py3-django-suit-0.2.28-r8.apk2024-10-25 22:34 366K 
[   ]lsmash-dev-2.14.5-r2.apk2024-10-25 22:32 368K 
[   ]torrent-file-editor-0.3.18-r0.apk2024-10-25 22:36 369K 
[   ]lgogdownloader-3.16-r1.apk2025-03-25 16:17 370K 
[   ]py3-bleak-0.22.3-r0.apk2024-10-25 22:34 370K 
[   ]3proxy-0.9.4-r2.apk2025-04-04 07:40 370K 
[   ]wlroots0.16-0.16.2-r0.apk2024-10-28 14:48 370K 
[   ]gloox-1.0.28-r0.apk2024-10-25 22:31 371K 
[   ]spread-sheet-widget-dev-0.10-r0.apk2024-10-25 22:36 372K 
[   ]vkbasalt-0.3.2.10-r0.apk2024-10-25 22:37 372K 
[   ]advancemame-doc-3.9-r4.apk2024-10-25 22:29 374K 
[   ]libntl-doc-11.5.1-r4.apk2024-10-25 22:32 374K 
[   ]lomiri-calculator-app-4.0.2-r1.apk2025-03-19 14:13 374K 
[   ]perl-catalyst-manual-doc-5.9013-r0.apk2025-04-04 07:22 375K 
[   ]klong-20221212-r0.apk2025-06-07 17:17 376K 
[   ]keydb-cli-6.3.4-r0.apk2024-10-25 22:31 376K 
[   ]checkpolicy-3.6-r0.apk2024-10-25 22:29 379K 
[   ]perl-snmp-info-doc-3.972002-r0.apk2024-10-30 06:59 379K 
[   ]gkrellm-lang-2.3.11-r0.apk2025-01-08 23:36 379K 
[   ]freshrss-lang-1.23.1-r1.apk2024-10-25 22:30 379K 
[   ]e16-lang-1.0.30-r0.apk2024-11-05 14:22 380K 
[   ]highctidh-1.0.2024092800-r0.apk2024-11-25 20:52 380K 
[   ]wcm-0.9.0-r0.apk2025-02-27 21:28 381K 
[   ]ocaml-xml-light-dev-2.5-r0.apk2024-10-25 22:33 382K 
[   ]moosefs-master-4.56.6-r2.apk2025-06-19 11:30 383K 
[   ]ocaml-topkg-dev-1.0.5-r2.apk2024-10-25 22:33 383K 
[   ]grip-4.2.4-r0.apk2024-10-25 22:31 384K 
[   ]py3-slixmpp-1.8.5-r2.apk2024-10-25 22:34 384K 
[   ]musikcube-plugin-server-3.0.4-r1.apk2025-01-26 20:32 384K 
[   ]exabgp-4.2.24-r0.apk2025-03-19 14:12 385K 
[   ]freediameter-extensions-1.5.0-r1.apk2024-10-25 22:30 387K 
[   ]py3-hfst-3.16.2-r0.apk2025-03-28 17:13 387K 
[   ]php81-fileinfo-8.1.33-r0.apk2025-07-03 00:13 387K 
[   ]py3-aioxmpp-0.13.3-r3.apk2024-10-25 22:34 388K 
[   ]ocaml-qtest-2.11.2-r3.apk2024-10-25 22:33 389K 
[   ]py3-highctidh-1.0.2024092800-r0.apk2024-11-25 20:52 390K 
[   ]mediastreamer2-5.3.100-r1.apk2025-06-01 17:50 391K 
[   ]py3-xsdata-pyc-25.7-r0.apk2025-07-07 22:47 393K 
[   ]mkosi-pyc-25.3-r0.apk2025-02-01 23:32 393K 
[   ]quickjs-ng-libs-0.10.1-r0.apk2025-05-16 19:13 394K 
[   ]ocaml-ca-certs-nss-3.89.1-r1.apk2024-10-25 22:33 395K 
[   ]lumina-desktop-fm-1.6.2-r0.apk2024-10-25 22:32 395K 
[   ]libretro-tyrquake-0_git20220409-r0.apk2024-10-25 22:32 396K 
[   ]perl-devel-nytprof-6.14-r1.apk2025-06-30 09:03 397K 
[   ]atlantik-3.5.10_git20240323-r0.apk2024-10-25 22:29 398K 
[   ]wlroots0.17-0.17.4-r1.apk2024-11-23 10:30 399K 
[   ]terminalpp-0.8.4-r0.apk2024-10-25 22:36 402K 
[   ]py3-b2sdk-pyc-2.8.1-r0.apk2025-05-03 11:48 403K 
[   ]htslib-1.19-r0.apk2024-10-25 22:31 404K 
[   ]simgear-dev-2024.1.1-r0.apk2025-03-05 01:19 404K 
[   ]noblenote-1.2.1-r1.apk2024-10-25 22:33 406K 
[   ]schismtracker-20231029-r0.apk2024-10-25 22:35 406K 
[   ]xml2rfc-pyc-3.28.1-r0.apk2025-04-18 13:01 407K 
[   ]birdtray-1.9.0-r1.apk2024-10-25 22:29 408K 
[   ]ocaml-parsexp-0.16.0-r0.apk2024-10-25 22:33 410K 
[   ]video-trimmer-25.03-r0.apk2025-06-30 15:45 411K 
[   ]appcenter-8.0.0-r0.apk2024-11-12 22:55 411K 
[   ]nvim-treesitter-0.10.0-r0.apk2025-06-01 17:50 412K 
[   ]lua5.1-luacov-html-1.0.0-r1.apk2024-10-25 22:32 413K 
[   ]lua5.2-luacov-html-1.0.0-r1.apk2024-10-25 22:32 413K 
[   ]lua5.3-luacov-html-1.0.0-r1.apk2024-10-25 22:32 413K 
[   ]highctidh-dev-1.0.2024092800-r0.apk2024-11-25 20:52 413K 
[   ]mm-common-1.0.7-r0.apk2025-06-27 18:39 414K 
[   ]kew-3.3.3-r0.apk2025-05-30 00:20 417K 
[   ]welle-io-2.7-r0.apk2025-04-07 20:04 418K 
[   ]drumgizmo-0.9.20-r1.apk2024-10-25 22:30 418K 
[   ]gaupol-pyc-1.12-r2.apk2024-10-25 22:31 419K 
[   ]perl-dbix-class-doc-0.082844-r0.apk2025-01-16 18:26 421K 
[   ]visidata-3.2-r0.apk2025-06-20 13:37 421K 
[   ]code-minimap-0.6.7-r0.apk2024-12-12 20:46 422K 
[   ]ocaml-fix-dev-20220121-r2.apk2024-10-25 22:33 423K 
[   ]py3-owslib-pyc-0.34.0-r0.apk2025-06-08 18:55 424K 
[   ]esptool-4.8.1-r0.apk2024-10-25 22:30 424K 
[   ]knxd-0.14.61-r1.apk2024-12-14 20:04 425K 
[   ]toml2json-1.3.1-r0.apk2024-10-25 22:36 426K 
[   ]py3-pacparser-1.4.5-r1.apk2024-10-25 22:34 427K 
[   ]font-stix-ttf-2.13-r0.apk2024-10-25 22:30 430K 
[   ]qtpass-1.4.0-r0.apk2024-10-25 22:34 431K 
[   ]bkt-0.8.0-r0.apk2024-10-25 22:29 433K 
[   ]hyfetch-1.99.0-r1.apk2024-10-25 22:31 433K 
[   ]qtile-0.30.0-r0.apk2025-02-10 20:58 436K 
[   ]projectm-pulseaudio-3.1.12-r2.apk2024-10-25 22:34 436K 
[   ]botan2-2.19.5-r0.apk2025-05-28 23:37 436K 
[   ]py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk2024-10-25 22:34 437K 
[   ]lcalc-doc-2.1.0-r0.apk2025-05-03 10:30 437K 
[   ]spice-html5-0.3.0-r1.apk2024-10-25 22:36 438K 
[   ]komikku-1.81.0-r0.apk2025-07-10 22:33 439K 
[   ]tomcat9-examples-9.0.105-r0.apk2025-06-08 18:42 439K 
[   ]syncthing-gtk-0.9.4.5-r2.apk2024-10-25 22:36 440K 
[   ]xsane-lang-0.999-r2.apk2024-10-25 22:37 440K 
[   ]startup-2.0.3-r5.apk2024-10-25 22:36 442K 
[   ]ocaml-cairo2-dev-0.6.2-r2.apk2024-10-25 22:33 442K 
[   ]ocaml-metrics-dev-0.4.0-r3.apk2024-10-25 22:33 444K 
[   ]py3-fastavro-1.11.1-r0.apk2025-05-19 11:14 444K 
[   ]py3-aiohttp-debugtoolbar-0.6.1-r2.apk2024-10-25 22:34 446K 
[   ]simp1e-cursors-0_git20250312-r0.apk2025-03-19 14:13 447K 
[   ]ocaml-ca-certs-nss-dev-3.89.1-r1.apk2024-10-25 22:33 447K 
[   ]gkrellm-2.3.11-r0.apk2025-01-08 23:36 448K 
[   ]simp1e-cursors-left-0_git20250312-r0.apk2025-03-19 14:13 449K 
[   ]py3-flask-bootstrap-3.3.7.1-r9.apk2025-05-12 23:26 449K 
[   ]libqofono-qt6-0.124-r0.apk2025-01-10 13:02 449K 
[   ]simp1e-cursors-mix-light-0_git20250312-r0.apk2025-03-19 14:13 450K 
[   ]desed-1.2.1-r1.apk2024-10-25 22:30 451K 
[   ]simp1e-cursors-mix-light-left-0_git20250312-r0.apk2025-03-19 14:13 451K 
[   ]libretro-beetle-supergrafx-0_git20220218-r0.apk2024-10-25 22:32 452K 
[   ]lout-doc-3.42.2-r0.apk2024-10-25 22:32 453K 
[   ]ocaml-otoml-1.0.5-r0.apk2024-10-25 22:33 453K 
[   ]corosync-dev-3.1.9-r0.apk2025-05-29 05:16 453K 
[   ]pypy-tkinter-7.3.12-r0.apk2024-10-25 22:34 454K 
[   ]py3-telegram-bot-21.10-r0.apk2025-02-14 16:28 454K 
[   ]qsynth-1.0.2-r0.apk2024-10-25 22:34 454K 
[   ]libretro-beetle-pce-fast-0_git20220205-r0.apk2024-10-25 22:32 455K 
[   ]lomiri-clock-app-lang-4.1.1-r0.apk2025-05-09 19:32 456K 
[   ]qstardict-2.0.2-r1.apk2024-11-24 04:04 460K 
[   ]projectm-3.1.12-r2.apk2024-10-25 22:34 461K 
[   ]neko-2.3.0-r0.apk2024-11-20 23:25 461K 
[   ]libguestfs-static-1.52.0-r3.apk2025-06-13 16:01 463K 
[   ]featherpad-lang-1.5.1-r0.apk2024-10-25 22:30 463K 
[   ]keydb-benchmark-6.3.4-r0.apk2024-10-25 22:31 464K 
[   ]megazeux-doc-2.93d-r0.apk2025-06-10 18:00 465K 
[   ]ocaml-ocp-index-1.3.6-r0.apk2024-10-25 22:33 466K 
[   ]timeshift-24.06.6-r0.apk2025-04-24 01:13 469K 
[   ]motion-lang-4.7.0-r0.apk2024-10-25 22:32 471K 
[   ]perl-html-object-doc-0.5.1-r0.apk2024-10-25 22:34 472K 
[   ]ocaml-alcotest-1.5.0-r4.apk2024-10-25 22:33 474K 
[   ]ocaml-bos-0.2.1-r2.apk2024-10-25 22:33 478K 
[   ]simp1e-cursors-adw-0_git20250312-r0.apk2025-03-19 14:13 478K 
[   ]libre-dev-3.23.0-r0.apk2025-06-27 09:13 479K 
[   ]cln-1.3.7-r1.apk2025-05-25 09:50 480K 
[   ]libantlr4-4.13.2-r0.apk2024-12-12 12:08 482K 
[   ]simp1e-cursors-adw-dark-0_git20250312-r0.apk2025-03-19 14:13 482K 
[   ]py3-scrapy-pyc-2.11.1-r1.apk2024-10-25 22:34 482K 
[   ]simp1e-cursors-adw-left-0_git20250312-r0.apk2025-03-19 14:13 483K 
[   ]logwatch-7.10-r1.apk2024-10-25 22:32 483K 
[   ]simp1e-cursors-dark-0_git20250312-r0.apk2025-03-19 14:13 484K 
[   ]vcdimager-2.0.1-r5.apk2025-01-22 11:33 485K 
[   ]simp1e-cursors-adw-dark-left-0_git20250312-r0.apk2025-03-19 14:13 485K 
[   ]ocaml-cmdliner-1.1.1-r3.apk2024-10-25 22:33 485K 
[   ]mapnik-dev-4.0.6-r1.apk2025-06-10 12:21 486K 
[   ]simp1e-cursors-mix-dark-0_git20250312-r0.apk2025-03-19 14:13 487K 
[   ]simp1e-cursors-dark-left-0_git20250312-r0.apk2025-03-19 14:13 490K 
[   ]mint-x-theme-gtk2-2.1.1-r0.apk2024-10-25 22:32 490K 
[   ]lol-html-1.1.1-r1.apk2024-10-25 22:32 491K 
[   ]simp1e-cursors-mix-dark-left-0_git20250312-r0.apk2025-03-19 14:13 492K 
[   ]quakespasm-0.96.3-r0.apk2024-10-25 22:34 497K 
[   ]ocaml-sexplib-0.16.0-r0.apk2024-10-25 22:33 497K 
[   ]getting-things-gnome-doc-0.6-r4.apk2024-12-08 22:41 497K 
[   ]py3-openwisp-utils-1.0.4-r4.apk2024-10-25 22:34 498K 
[   ]9base-troff-6-r2.apk2024-10-25 22:29 498K 
[   ]py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk2024-10-25 22:34 500K 
[   ]htslib-static-1.19-r0.apk2024-10-25 22:31 501K 
[   ]squeak-vm-4.10.2.2614-r1.apk2024-10-25 22:36 502K 
[   ]py3-pyinstaller-pyc-6.6.0-r0.apk2024-10-25 22:34 502K 
[   ]materia-dark-kde-plasma-20220823-r0.apk2024-10-25 22:32 503K 
[   ]simp1e-cursors-breeze-0_git20250312-r0.apk2025-03-19 14:13 504K 
[   ]meep-dev-1.30.0-r1.apk2025-07-05 00:06 505K 
[   ]ocaml-lwd-0.3-r0.apk2024-10-25 22:33 506K 
[   ]font-cascadia-mono-2407.24-r1.apk2025-05-27 16:41 507K 
[   ]trace-cmd-dbg-3.3.1-r1.apk2025-01-19 12:58 508K 
[   ]php85-fileinfo-8.5.0_alpha1-r0.apk2025-07-02 19:08 508K 
[   ]emacs-lsp-booster-0.2.1-r0.apk2025-04-15 03:16 509K 
[   ]simp1e-cursors-breeze-left-0_git20250312-r0.apk2025-03-19 14:13 509K 
[   ]mint-x-theme-gtk4-2.1.1-r0.apk2024-10-25 22:32 510K 
[   ]cdist-7.0.0-r6.apk2024-10-25 22:29 511K 
[   ]ovn-doc-24.03.1-r0.apk2024-10-25 22:34 512K 
[   ]mimalloc1-dev-1.9.3-r1.apk2025-05-18 18:00 515K 
[   ]plots-0.7.0-r1.apk2024-11-06 10:42 516K 
[   ]simp1e-cursors-gruvbox-light-0_git20250312-r0.apk2025-03-19 14:13 517K 
[   ]simp1e-cursors-nord-light-0_git20250312-r0.apk2025-03-19 14:13 518K 
[   ]ocaml-otr-dev-0.3.10-r2.apk2024-10-25 22:33 519K 
[   ]simp1e-cursors-tokyo-night-light-0_git20250312-r0.apk2025-03-19 14:13 520K 
[   ]simp1e-cursors-breeze-dark-0_git20250312-r0.apk2025-03-19 14:13 520K 
[   ]gstreamermm-1.10.0-r6.apk2025-02-14 16:28 521K 
[   ]libsigrok-0.5.2-r3.apk2024-10-25 22:32 523K 
[   ]libretro-pcsx-rearmed-0_git20220409-r0.apk2024-10-25 22:32 523K 
[   ]simp1e-cursors-solarized-dark-0_git20250312-r0.apk2025-03-19 14:13 524K 
[   ]simp1e-cursors-nord-light-left-0_git20250312-r0.apk2025-03-19 14:13 525K 
[   ]simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk2025-03-19 14:13 525K 
[   ]litehtml-static-0.9-r2.apk2025-02-14 16:28 526K 
[   ]cargo-run-bin-1.7.2-r0.apk2024-10-25 22:29 526K 
[   ]font-cascadia-code-2407.24-r1.apk2025-05-27 16:41 526K 
[   ]simp1e-cursors-breeze-dark-left-0_git20250312-r0.apk2025-03-19 14:13 526K 
[   ]py3-apsw-pyc-3.49.1.0-r0.apk2025-02-25 07:47 526K 
[   ]simp1e-cursors-gruvbox-light-left-0_git20250312-r0.apk2025-03-19 14:13 527K 
[   ]simp1e-cursors-tokyo-night-light-left-0_git20250312-r0.apk2025-03-19 14:13 527K 
[   ]gr-satellites-5.5.0-r5.apk2025-06-08 18:40 527K 
[   ]simp1e-cursors-catppuccin-latte-0_git20250312-r0.apk2025-03-19 14:13 528K 
[   ]httrack-doc-3.49.2-r5.apk2024-10-25 22:31 528K 
[   ]ocaml-uuidm-tools-0.9.8-r2.apk2024-10-25 22:33 529K 
[   ]uucp-1.07-r6.apk2024-10-25 22:36 529K 
[   ]ocaml-jsonm-tools-1.0.2-r0.apk2024-10-25 22:33 529K 
[   ]simp1e-cursors-zenburn-0_git20250312-r0.apk2025-03-19 14:13 529K 
[   ]ocaml-ocp-index-dev-1.3.6-r0.apk2024-10-25 22:33 529K 
[   ]simp1e-cursors-catppuccin-mocha-0_git20250312-r0.apk2025-03-19 14:13 530K 
[   ]simp1e-cursors-tokyo-night-0_git20250312-r0.apk2025-03-19 14:13 530K 
[   ]libretro-neocd-0_git20220325-r1.apk2024-10-25 22:32 530K 
[   ]simp1e-cursors-solarized-light-0_git20250312-r0.apk2025-03-19 14:13 531K 
[   ]simp1e-cursors-catppuccin-macchiato-0_git20250312-r0.apk2025-03-19 14:13 533K 
[   ]cargo-vendor-filterer-0.5.9-r1.apk2024-10-25 22:29 533K 
[   ]ocaml-zed-3.1.0-r3.apk2024-10-25 22:33 533K 
[   ]qflipper-1.3.3-r1.apk2024-10-25 22:34 533K 
[   ]simp1e-cursors-rose-pine-0_git20250312-r0.apk2025-03-19 14:13 533K 
[   ]simp1e-cursors-tokyo-night-storm-0_git20250312-r0.apk2025-03-19 14:13 534K 
[   ]simp1e-cursors-solarized-dark-left-0_git20250312-r0.apk2025-03-19 14:13 535K 
[   ]simp1e-cursors-catppuccin-frappe-0_git20250312-r0.apk2025-03-19 14:13 535K 
[   ]simp1e-cursors-nord-dark-0_git20250312-r0.apk2025-03-19 14:13 536K 
[   ]libretro-openlara-0_git20210121-r0.apk2024-10-25 22:32 536K 
[   ]simp1e-cursors-gruvbox-dark-left-0_git20250312-r0.apk2025-03-19 14:13 537K 
[   ]simp1e-cursors-catppuccin-latte-left-0_git20250312-r0.apk2025-03-19 14:13 537K 
[   ]scooper-1.3-r1.apk2024-10-25 22:35 538K 
[   ]simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk2025-03-19 14:13 538K 
[   ]mkdocs-bootswatch-1.1-r5.apk2024-10-25 22:32 538K 
[   ]simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk2025-03-19 14:13 538K 
[   ]ocaml-re-1.11.0-r1.apk2024-10-25 22:33 540K 
[   ]mapserver-dev-8.4.0-r2.apk2025-06-11 13:58 540K 
[   ]simp1e-cursors-catppuccin-mocha-left-0_git20250312-r0.apk2025-03-19 14:13 540K 
[   ]simp1e-cursors-zenburn-left-0_git20250312-r0.apk2025-03-19 14:13 540K 
[   ]ocaml-conduit-dev-6.1.0-r0.apk2024-10-25 22:33 540K 
[   ]simp1e-cursors-tokyo-night-left-0_git20250312-r0.apk2025-03-19 14:13 541K 
[   ]aspell-es-1.11-r0.apk2024-10-25 22:29 541K 
[   ]simp1e-cursors-solarized-light-left-0_git20250312-r0.apk2025-03-19 14:13 542K 
[   ]simp1e-cursors-catppuccin-macchiato-left-0_git20250312-r0.apk2025-03-19 14:13 544K 
[   ]simp1e-cursors-rose-pine-left-0_git20250312-r0.apk2025-03-19 14:13 545K 
[   ]ocaml-bisect_ppx-dev-2.8.3-r0.apk2024-10-25 22:33 545K 
[   ]simp1e-cursors-tokyo-night-storm-left-0_git20250312-r0.apk2025-03-19 14:13 546K 
[   ]perl-lingua-en-tagger-0.31-r0.apk2025-06-08 18:40 546K 
[   ]faust-static-2.79.3-r0.apk2025-06-07 19:02 547K 
[   ]simp1e-cursors-catppuccin-frappe-left-0_git20250312-r0.apk2025-03-19 14:13 547K 
[   ]amber-0.4.0-r0.apk2025-06-19 02:16 548K 
[   ]simp1e-cursors-nord-dark-left-0_git20250312-r0.apk2025-03-19 14:13 549K 
[   ]qtmir-0.7.2_git20250407-r1.apk2025-06-28 19:26 549K 
[   ]esptool-pyc-4.8.1-r0.apk2024-10-25 22:30 549K 
[   ]simp1e-cursors-rose-pine-moon-left-0_git20250312-r0.apk2025-03-19 14:13 550K 
[   ]py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk2024-10-25 22:34 550K 
[   ]simp1e-cursors-rose-pine-dawn-left-0_git20250312-r0.apk2025-03-19 14:13 551K 
[   ]log4cxx-1.1.0-r3.apk2025-02-14 16:28 551K 
[   ]ocaml-ocp-indent-1.8.2-r2.apk2024-10-25 22:33 551K 
[   ]platformio-core-pyc-6.1.7-r3.apk2024-10-25 22:34 552K 
[   ]ocaml-ipaddr-dev-5.3.1-r2.apk2024-10-25 22:33 553K 
[   ]perl-imager-1.028-r1.apk2025-06-30 09:03 555K 
[   ]cpdf-doc-2.8.1-r0.apk2025-05-08 13:05 558K 
[   ]libguestfs-doc-1.52.0-r3.apk2025-06-13 16:01 559K 
[   ]ocaml-ounit-2.2.7-r3.apk2024-10-25 22:33 560K 
[   ]py3-cassandra-driver-pyc-3.29.2-r0.apk2024-10-25 22:34 560K 
[   ]volatility3-2.11.0-r0.apk2025-02-13 08:45 563K 
[   ]ocaml-asn1-combinators-dev-0.2.6-r2.apk2024-10-25 22:33 565K 
[   ]ocaml-tsdl-dev-1.0.0-r0.apk2024-10-25 22:33 565K 
[   ]pypy3-dev-7.3.12-r0.apk2024-10-25 22:34 565K 
[   ]ettercap-0.8.3.1-r3.apk2024-10-25 22:30 567K 
[   ]gnome-metronome-1.3.0-r0.apk2024-10-25 22:31 567K 
[   ]rygel-lang-0.44.2-r0.apk2025-03-19 14:13 567K 
[   ]font-tiresias-0_git20200704-r0.apk2024-10-25 22:30 568K 
[   ]perl-libintl-perl-doc-1.35-r0.apk2025-01-16 14:35 571K 
[   ]barman-pyc-3.14.1-r0.apk2025-06-25 16:18 572K 
[   ]ocaml-bitstring-dev-4.1.0-r3.apk2024-10-25 22:33 574K 
[   ]marxan-4.0.7-r1.apk2024-10-25 22:32 581K 
[   ]xonsh-0.19.1-r0.apk2025-02-05 23:24 585K 
[   ]parse-changelog-0.6.12-r0.apk2025-05-19 00:17 585K 
[   ]orage-4.20.1-r0.apk2025-04-08 13:49 586K 
[   ]ocaml-fileutils-dev-0.6.4-r2.apk2024-10-25 22:33 590K 
[   ]boinc-dev-7.24.3-r0.apk2024-10-25 22:29 592K 
[   ]nitro-2.7_beta8-r2.apk2024-10-25 22:33 593K 
[   ]php81-mbstring-8.1.33-r0.apk2025-07-03 00:13 593K 
[   ]turn-rs-3.4.0-r1.apk2025-06-12 15:21 594K 
[   ]ocaml-erm_xml-0_git20211229-r2.apk2024-10-25 22:33 595K 
[   ]uranium-5.2.2-r3.apk2024-10-25 22:36 596K 
[   ]py3-textual-3.2.0-r0.apk2025-05-14 11:36 596K 
[   ]gufw-24.04-r3.apk2024-11-19 23:01 596K 
[   ]py3-aiosmb-0.4.11-r1.apk2025-05-29 13:59 597K 
[   ]wayfire-plugins-extra-0.9.0-r0.apk2025-02-27 21:28 598K 
[   ]ocaml-gen-dev-1.1-r1.apk2024-10-25 22:33 600K 
[   ]supermin-5.2.2-r2.apk2024-10-25 22:36 601K 
[   ]opendht-libs-3.1.11-r0.apk2025-01-27 21:56 602K 
[   ]ocaml-notty-dev-0.2.3-r0.apk2024-10-25 22:33 603K 
[   ]mint-x-theme-gtk3-2.1.1-r0.apk2024-10-25 22:32 603K 
[   ]ocaml-ppx_sexp_conv-0.16.0-r0.apk2024-10-25 22:33 607K 
[   ]ocaml-menhir-doc-20220210-r3.apk2024-12-08 17:20 610K 
[   ]typstyle-0.12.14-r0.apk2025-01-12 14:44 610K 
[   ]gamja-1.0.0_beta11-r0.apk2025-06-14 20:10 617K 
[   ]gnu-apl-dev-1.9-r0.apk2024-10-25 22:31 617K 
[   ]ocaml-amqp-client-2.3.0-r0.apk2024-10-25 22:33 619K 
[   ]i3bar-river-1.1.0-r0.apk2025-03-19 14:12 622K 
[   ]projectm-dev-3.1.12-r2.apk2024-10-25 22:34 625K 
[   ]lomiri-indicator-network-1.1.1-r0.apk2025-05-09 19:32 626K 
[   ]font-chivo-mono-0_git20221110-r0.apk2024-10-25 22:30 626K 
[   ]lomiri-download-manager-0.2.1-r0.apk2025-04-15 03:17 632K 
[   ]ocaml-topkg-1.0.5-r2.apk2024-10-25 22:33 637K 
[   ]perl-alien-libgumbo-0.05-r1.apk2025-06-30 09:03 638K 
[   ]ocaml-ocp-indent-dev-1.8.2-r2.apk2024-10-25 22:33 638K 
[   ]ocaml-xmlm-1.4.0-r2.apk2024-10-25 22:33 639K 
[   ]ocaml-biniou-1.2.1-r5.apk2024-10-25 22:33 643K 
[   ]mkdocs-gitbook-0.0.1-r5.apk2024-10-25 22:32 644K 
[   ]mkdocs-cluster-0.0.9-r5.apk2024-10-25 22:32 651K 
[   ]font-material-icons-4.0.0-r0.apk2024-10-25 22:30 652K 
[   ]ocaml-higlo-dev-0.9-r0.apk2024-10-25 22:33 656K 
[   ]mint-y-theme-gtk2-2.1.1-r0.apk2024-10-25 22:32 656K 
[   ]ocaml-extlib-1.7.9-r2.apk2024-10-25 22:33 657K 
[   ]meep-1.30.0-r1.apk2025-07-05 00:06 662K 
[   ]php85-mbstring-8.5.0_alpha1-r0.apk2025-07-02 19:08 668K 
[   ]py3-beartype-pyc-0.21.0-r0.apk2025-05-25 15:46 673K 
[   ]py3-aioxmpp-pyc-0.13.3-r3.apk2024-10-25 22:34 673K 
[   ]bonzomatic-20230615-r0.apk2024-10-25 22:29 673K 
[   ]firehol-doc-3.1.7-r2.apk2024-10-25 22:30 675K 
[   ]py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk2024-10-25 22:34 675K 
[   ]yaru-sounds-23.10.0-r2.apk2025-03-19 14:14 676K 
[   ]apache2-mod-perl-2.0.13-r2.apk2025-06-30 09:03 677K 
[   ]font-monocraft-4.0-r0.apk2024-10-25 22:30 677K 
[   ]wl-screenrec-0.1.7-r0.apk2025-04-15 03:17 677K 
[   ]codec2-1.2.0-r0.apk2025-05-26 00:12 678K 
[   ]perl-gtk2-doc-1.24993-r7.apk2025-06-30 09:03 679K 
[   ]jrsonnet-cli-0.4.2-r1.apk2024-10-25 22:31 684K 
[   ]ttyper-1.6.0-r0.apk2025-02-05 22:45 686K 
[   ]ocaml-cohttp-5.3.1-r0.apk2024-10-25 22:33 690K 
[   ]ocaml-qcheck-0.18.1-r3.apk2024-10-25 22:33 691K 
[   ]moosefs-client-4.56.6-r2.apk2025-06-19 11:30 691K 
[   ]libretro-bluemsx-0_git20240808-r0.apk2024-10-25 22:32 692K 
[   ]py3-cvxpy-1.2.1-r5.apk2024-10-25 22:34 696K 
[   ]oils-for-unix-0.31.0-r0.apk2025-06-23 07:24 696K 
[   ]libuninameslist-20230916-r0.apk2024-10-25 22:32 696K 
[   ]py3-rns-pyc-0.9.6-r0.apk2025-05-27 23:33 704K 
[   ]ocaml-cstruct-dev-6.1.0-r3.apk2024-10-25 22:33 705K 
[   ]py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0.apk2024-10-25 22:34 713K 
[   ]nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1.apk2024-10-25 22:33 713K 
[   ]getting-things-gnome-0.6-r4.apk2024-12-08 22:41 715K 
[   ]ocaml-gettext-dev-0.4.2-r3.apk2024-10-25 22:33 716K 
[   ]bash-pinyin-completion-rs-0.2.3-r0.apk2025-04-30 19:49 718K 
[   ]smile-2.10.1-r0.apk2025-04-09 00:18 721K 
[   ]pypykatz-pyc-0.6.11-r1.apk2025-05-29 13:59 721K 
[   ]tsung-1.8.0-r3.apk2025-06-13 07:36 722K 
[   ]py3-telegram-bot-pyc-21.10-r0.apk2025-02-14 16:28 724K 
[   ]moosefs-static-4.56.6-r2.apk2025-06-19 11:30 724K 
[   ]libmdbx-0.11.8-r0.apk2024-10-25 22:32 724K 
[   ]ocaml-uutf-1.0.3-r2.apk2024-10-25 22:33 725K 
[   ]ocaml-down-0.1.0-r3.apk2024-10-25 22:33 727K 
[   ]yaru-theme-mate-23.10.0-r2.apk2025-03-19 14:14 727K 
[   ]py3-slixmpp-pyc-1.8.5-r2.apk2024-10-25 22:34 729K 
[   ]hunspell-ca-es-3.0.7-r0.apk2024-10-25 22:31 731K 
[   ]belle-sip-5.3.105-r0.apk2025-02-25 13:52 735K 
[   ]yaru-shell-23.10.0-r2.apk2025-03-19 14:14 735K 
[   ]prjtrellis-db-machxo3d-0_git20230929-r0.apk2024-10-25 22:34 748K 
[   ]responder-3.1.5.0-r0.apk2024-10-25 22:34 750K 
[   ]66-dbg-0.8.2.1-r0.apk2025-06-03 21:11 750K 
[   ]freshrss-doc-1.23.1-r1.apk2024-10-25 22:30 751K 
[   ]libretro-daphne-0_git20210108-r2.apk2024-10-25 22:32 753K 
[   ]httrack-3.49.2-r5.apk2024-10-25 22:31 753K 
[   ]transito-doc-0.9.1-r7.apk2025-07-10 21:45 755K 
[   ]yaru-theme-purple-23.10.0-r2.apk2025-03-19 14:14 756K 
[   ]rtw89-src-7_p20230725-r0.apk2024-10-25 22:35 759K 
[   ]yaru-theme-olive-23.10.0-r2.apk2025-03-19 14:14 759K 
[   ]yaru-theme-viridian-23.10.0-r2.apk2025-03-19 14:14 760K 
[   ]yaru-theme-prussiangreen-23.10.0-r2.apk2025-03-19 14:14 760K 
[   ]dnssec-tools-2.2.3-r13.apk2025-06-30 09:03 760K 
[   ]ocaml-iri-dev-1.0.0-r0.apk2024-10-25 22:33 760K 
[   ]maxima-doc-5.47.0-r9.apk2025-07-07 23:30 760K 
[   ]yaru-theme-red-23.10.0-r2.apk2025-03-19 14:14 760K 
[   ]yaru-theme-magenta-23.10.0-r2.apk2025-03-19 14:14 761K 
[   ]yaru-theme-sage-23.10.0-r2.apk2025-03-19 14:14 762K 
[   ]ocaml-utop-dev-2.9.1-r4.apk2024-10-25 22:33 763K 
[   ]yaru-theme-bark-23.10.0-r2.apk2025-03-19 14:14 763K 
[   ]kondo-0.8-r0.apk2024-10-25 22:31 764K 
[   ]ocaml-xtmpl-dev-0.19.0-r0.apk2024-10-25 22:33 764K 
[   ]yaru-theme-blue-23.10.0-r2.apk2025-03-19 14:14 765K 
[   ]py3-livestream-2.1.0-r0.apk2024-11-25 23:22 766K 
[   ]ocaml-otoml-dev-1.0.5-r0.apk2024-10-25 22:33 768K 
[   ]azure-iot-sdk-c-static-1.11.0-r0.apk2024-10-25 22:29 772K 
[   ]raku-cro-core-0.8.10-r0.apk2025-06-07 09:42 776K 
[   ]exabgp-pyc-4.2.24-r0.apk2025-03-19 14:12 778K 
[   ]ocaml-erm_xml-dev-0_git20211229-r2.apk2024-10-25 22:33 778K 
[   ]sudo-ldap-1.9.17_p1-r0.apk2025-07-01 21:04 783K 
[   ]nextpnr-generic-0.7-r0.apk2024-10-25 22:32 783K 
[   ]py3-modern_colorthief-0.1.7-r0.apk2025-06-16 19:58 783K 
[   ]komikku-pyc-1.81.0-r0.apk2025-07-10 22:33 784K 
[   ]sshs-4.7.2-r0.apk2025-04-24 01:13 784K 
[   ]featherpad-1.5.1-r0.apk2024-10-25 22:30 788K 
[   ]mkdocs-bootstrap386-0.0.2-r5.apk2024-10-25 22:32 791K 
[   ]font-chivo-0_git20221110-r0.apk2024-10-25 22:30 792K 
[   ]pacparser-1.4.5-r1.apk2024-10-25 22:34 792K 
[   ]kmscon-9.0.0-r0.apk2024-10-25 22:31 795K 
[   ]otrs-doc-6.0.48-r2.apk2024-10-25 22:34 795K 
[   ]php81-pecl-xhprof-assets-2.3.10-r0.apk2024-10-25 22:34 801K 
[   ]py3-python-stdnum-1.20-r0.apk2024-10-25 22:34 806K 
[   ]php85-pecl-xhprof-assets-2.3.10-r0.apk2025-07-02 20:59 807K 
[   ]nmap-parse-output-doc-1.5.1-r1.apk2025-05-29 13:59 808K 
[   ]dlib-19.24.4-r0.apk2024-10-25 22:30 808K 
[   ]e16-1.0.30-r0.apk2024-11-05 14:22 808K 
[   ]redhat-fonts-4.1.0-r0.apk2025-03-19 14:13 809K 
[   ]pyradio-pyc-0.9.3.11-r0.apk2024-10-25 22:34 810K 
[   ]lutris-lang-0.5.19-r0.apk2025-05-26 13:08 810K 
[   ]ocaml-sexplib-dev-0.16.0-r0.apk2024-10-25 22:33 813K 
[   ]ocaml-alcotest-dev-1.5.0-r4.apk2024-10-25 22:33 813K 
[   ]emacs-helm-3.9.7_git20240329-r0.apk2024-10-25 22:30 815K 
[   ]rygel-0.44.2-r0.apk2025-03-19 14:13 817K 
[   ]lumina-desktop-coreutils-1.6.2-r0.apk2024-10-25 22:32 819K 
[   ]lutris-0.5.19-r0.apk2025-05-26 13:08 819K 
[   ]planner-lang-0.14.92-r1.apk2024-12-08 22:41 825K 
[   ]geonames-0.3.1-r2.apk2024-10-25 22:31 826K 
[   ]cimg-3.4.1-r0.apk2024-10-25 22:30 826K 
[   ]font-fira-code-6.2-r0.apk2024-10-25 22:30 836K 
[   ]agate-3.3.8-r0.apk2024-10-25 22:29 836K 
[   ]qtile-pyc-0.30.0-r0.apk2025-02-10 20:58 837K 
[   ]yaru-theme-23.10.0-r2.apk2025-03-19 14:14 839K 
[   ]visidata-pyc-3.2-r0.apk2025-06-20 13:37 841K 
[   ]py3-apsw-3.49.1.0-r0.apk2025-02-25 07:47 844K 
[   ]advancemame-menu-3.9-r4.apk2024-10-25 22:29 845K 
[   ]ocaml-ctypes-dev-0.20.1-r2.apk2024-10-25 22:33 845K 
[   ]lomiri-system-settings-lang-1.3.2-r0.apk2025-05-09 19:32 846K 
[   ]powder-toy-97.0.352-r1.apk2024-10-25 22:34 848K 
[   ]libsemigroups-2.7.3-r1.apk2024-12-14 20:04 849K 
[   ]font-katex-0.16.2-r0.apk2024-10-25 22:30 852K 
[   ]udpt-3.1.2-r0.apk2024-10-25 22:36 853K 
[   ]xwayland-satellite-0.6-r0.apk2025-06-19 01:58 854K 
[   ]gufw-lang-24.04-r3.apk2024-11-19 23:01 855K 
[   ]azpainter-3.0.11-r0.apk2025-02-22 12:10 856K 
[   ]cherrytree-lang-1.4.0-r0.apk2025-03-26 18:41 859K 
[   ]vile-9.8z_p1-r1.apk2025-06-30 09:04 859K 
[   ]php81-pecl-mongodb-2.1.1-r0.apk2025-06-15 00:53 861K 
[   ]eiwd-3.8-r0.apk2025-05-13 19:09 861K 
[   ]cddlib-doc-0.94m-r2.apk2024-10-25 22:29 864K 
[   ]libabigail-2.3-r0.apk2024-10-25 22:31 866K 
[   ]ocaml-x509-0.16.0-r2.apk2024-10-25 22:33 866K 
[   ]plib-1.8.5-r3.apk2024-10-25 22:34 868K 
[   ]pyradio-0.9.3.11-r0.apk2024-10-25 22:34 871K 
[   ]libretro-theodore-3.1-r0.apk2024-10-25 22:32 873K 
[   ]keepassxc-browser-1.8.9-r0.apk2024-10-25 22:31 876K 
[   ]boinc-lang-7.24.3-r0.apk2024-10-25 22:29 877K 
[   ]mdbook-alerts-0.7.0-r0.apk2025-01-11 13:08 879K 
[   ]APKINDEX.tar.gz2025-07-11 10:46 880K 
[   ]py3-dbus-fast-2.44.1-r0.apk2025-05-02 07:27 883K 
[   ]raspberrypi-usbboot-20250227-r0.apk2025-06-09 10:49 886K 
[   ]gloox-dev-1.0.28-r0.apk2024-10-25 22:31 888K 
[   ]xvile-9.8z_p1-r1.apk2025-06-30 09:04 888K 
[   ]tk9-9.0.2-r0.apk2025-07-03 10:12 890K 
[   ]ocaml-menhir-dev-20220210-r3.apk2024-12-08 17:20 899K 
[   ]libretro-fuse-0_git20220417-r0.apk2024-10-25 22:32 903K 
[   ]fulcrum-1.9.8-r1.apk2024-10-25 22:30 904K 
[   ]silc-client-1.1.11-r18.apk2025-06-30 09:03 911K 
[   ]netdiscover-0.20-r0.apk2025-05-17 23:48 916K 
[   ]timeshift-lang-24.06.6-r0.apk2025-04-24 01:13 917K 
[   ]swi-prolog-xpce-9.2.9-r0.apk2024-12-21 11:08 922K 
[   ]ocaml-ctypes-0.20.1-r2.apk2024-10-25 22:33 923K 
[   ]py3-beartype-0.21.0-r0.apk2025-05-25 15:46 926K 
[   ]libantlr4-dev-4.13.2-r0.apk2024-12-12 12:08 927K 
[   ]ocaml-lablgtk3-extras-3.0.1-r2.apk2024-10-25 22:33 930K 
[   ]lizardfs-master-3.13.0-r17.apk2025-06-19 11:30 930K 
[   ]py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0.apk2024-10-25 22:34 934K 
[   ]py3-cvxpy-pyc-1.2.1-r5.apk2024-10-25 22:34 936K 
[   ]kannel-dev-1.5.0-r11.apk2024-10-25 22:31 938K 
[   ]php81-dev-8.1.33-r0.apk2025-07-03 00:13 939K 
[   ]ocaml-parsexp-dev-0.16.0-r0.apk2024-10-25 22:33 940K 
[   ]net-predictable-1.5.1-r1.apk2025-07-10 21:45 940K 
[   ]php81-pecl-swoole-6.0.2-r0.apk2025-03-26 19:30 941K 
[   ]homebank-lang-5.9.1-r0.apk2025-05-08 13:07 942K 
[   ]mkdocs-windmill-1.0.5-r4.apk2024-10-25 22:32 944K 
[   ]qsstv-9.5.8-r2.apk2024-10-25 22:34 945K 
[   ]perl-gtk2-1.24993-r7.apk2025-06-30 09:03 947K 
[   ]hikari-2.3.3-r6.apk2024-10-25 22:31 949K 
[   ]ocaml-tsdl-1.0.0-r0.apk2024-10-25 22:33 951K 
[   ]cliphist-0.6.1-r6.apk2025-07-10 21:44 951K 
[   ]dune-deps-1.3.0-r2.apk2024-10-25 22:30 952K 
[   ]openjdk24-jre-24.0.1_p9-r0.apk2025-06-09 10:30 956K 
[   ]hurlfmt-6.1.1-r0.apk2025-05-03 19:29 964K 
[   ]openjdk22-jre-22.0.2_p9-r3.apk2025-02-14 16:28 967K 
[   ]openjdk23-jre-23.0.2_p7-r1.apk2025-02-14 16:28 968K 
[   ]ocaml-re-dev-1.11.0-r1.apk2024-10-25 22:33 969K 
[   ]xed-doc-3.8.2-r0.apk2025-02-05 23:24 971K 
[   ]stardict-3.0.6-r6.apk2024-10-25 22:36 972K 
[   ]rdedup-3.2.1-r5.apk2024-10-25 22:34 1.0M 
[   ]py3-mbedtls-2.10.1-r3.apk2025-05-29 13:59 1.0M 
[   ]bochs-2.8-r1.apk2025-02-20 19:32 1.0M 
[   ]git-graph-0.6.0-r0.apk2024-11-26 00:38 1.0M 
[   ]networkmanager-openconnect-lang-1.2.10-r1.apk2025-04-08 00:00 1.0M 
[   ]jwt-cli-6.2.0-r0.apk2024-12-14 19:18 1.0M 
[   ]pulseview-0.4.2-r8.apk2024-10-25 22:34 1.0M 
[   ]snapper-0.12.2-r0.apk2025-05-06 14:27 1.0M 
[   ]font-comic-neue-doc-2.51-r0.apk2024-10-25 22:30 1.0M 
[   ]draw-0.1.1-r14.apk2025-07-10 21:44 1.0M 
[   ]sshsrv-1.0-r13.apk2025-07-10 21:45 1.0M 
[   ]wlroots0.12-dbg-0.12.0-r1.apk2024-10-25 22:37 1.0M 
[   ]ocaml-lwd-dev-0.3-r0.apk2024-10-25 22:33 1.0M 
[   ]spacer-0.3.9-r0.apk2025-05-26 15:06 1.0M 
[   ]halp-0.2.0-r0.apk2024-10-25 22:31 1.0M 
[   ]cargo-show-asm-0.2.49-r0.apk2025-04-15 03:16 1.0M 
[   ]tealdeer-1.7.2-r0.apk2025-03-23 14:19 1.0M 
[   ]prjtrellis-db-machxo2-0_git20230929-r0.apk2024-10-25 22:34 1.0M 
[   ]barrier-2.4.0-r2.apk2025-02-14 16:28 1.0M 
[   ]imgdiff-1.0.2-r27.apk2025-07-10 21:45 1.0M 
[   ]mml-1.0.0-r0.apk2025-01-30 19:22 1.0M 
[   ]milkytracker-1.04.00-r2.apk2024-10-25 22:32 1.0M 
[   ]ocaml-amqp-client-dev-2.3.0-r0.apk2024-10-25 22:33 1.0M 
[   ]libretro-snes9x-0_git20240819-r0.apk2024-10-25 22:32 1.0M 
[   ]yarn-berry-4.9.1-r0.apk2025-04-16 00:08 1.0M 
[   ]xonsh-pyc-0.19.1-r0.apk2025-02-05 23:24 1.0M 
[   ]lomiri-trust-store-2.0.2-r10.apk2025-06-28 19:26 1.0M 
[   ]qpdfview-0.5-r2.apk2025-01-29 19:50 1.0M 
[   ]binwalk-3.1.0-r0.apk2025-02-07 16:46 1.0M 
[   ]ocaml-ounit-dev-2.2.7-r3.apk2024-10-25 22:33 1.0M 
[   ]yices2-libs-2.6.5-r0.apk2025-03-03 01:04 1.0M 
[   ]quodlibet-4.6.0-r2.apk2025-05-12 23:26 1.0M 
[   ]volatility3-pyc-2.11.0-r0.apk2025-02-13 08:45 1.0M 
[   ]mdbook-plantuml-0.8.0-r0.apk2024-10-25 22:32 1.0M 
[   ]lomiri-telephony-service-0.6.1-r3.apk2025-07-03 17:31 1.0M 
[   ]yaru-icon-theme-bark-23.10.0-r2.apk2025-03-19 14:14 1.0M 
[   ]cluster-glue-dev-1.0.12-r5.apk2024-10-25 22:30 1.0M 
[   ]ol-2.6-r0.apk2025-05-01 08:21 1.0M 
[   ]swi-prolog-xpce-doc-9.2.9-r0.apk2024-12-21 11:08 1.0M 
[   ]baresip-3.20.0-r1.apk2025-06-01 17:50 1.0M 
[   ]brial-1.2.11-r4.apk2024-10-25 22:29 1.1M 
[   ]supersonik-0.1.0-r2.apk2025-04-15 03:17 1.1M 
[   ]yaru-icon-theme-olive-23.10.0-r2.apk2025-03-19 14:14 1.1M 
[   ]yaru-icon-theme-magenta-23.10.0-r2.apk2025-03-19 14:14 1.1M 
[   ]php85-dev-8.5.0_alpha1-r0.apk2025-07-02 19:08 1.1M 
[   ]rust-script-0.35.0-r0.apk2024-10-27 17:30 1.1M 
[   ]ocaml-yojson-2.1.2-r0.apk2024-10-25 22:33 1.1M 
[   ]yaru-icon-theme-viridian-23.10.0-r2.apk2025-03-19 14:14 1.1M 
[   ]prjtrellis-db-machxo3-0_git20230929-r0.apk2024-10-25 22:34 1.1M 
[   ]ocaml-markup-1.0.3-r3.apk2024-10-25 22:33 1.1M 
[   ]yaru-icon-theme-prussiangreen-23.10.0-r2.apk2025-03-19 14:14 1.1M 
[   ]ocaml-mirage-crypto-0.10.6-r3.apk2024-10-25 22:33 1.1M 
[   ]flann-dev-1.9.2-r1.apk2025-02-14 16:28 1.1M 
[   ]lomiri-system-settings-1.3.2-r0.apk2025-05-09 19:32 1.1M 
[   ]fava-1.28-r0.apk2024-10-25 22:30 1.1M 
[   ]py3-aiosmb-pyc-0.4.11-r1.apk2025-05-29 13:59 1.1M 
[   ]limnoria-20240828-r0.apk2024-10-25 22:32 1.1M 
[   ]gearman-dev-1.1.21-r2.apk2025-05-22 08:53 1.1M 
[   ]yaru-icon-theme-blue-23.10.0-r2.apk2025-03-19 14:14 1.1M 
[   ]fxfloorboard-katana-mk2-doc-20240515-r1.apk2024-10-25 22:30 1.1M 
[   ]yaru-icon-theme-sage-23.10.0-r2.apk2025-03-19 14:14 1.1M 
[   ]mdbook-admonish-1.20.0-r0.apk2025-06-16 23:33 1.1M 
[   ]xed-3.8.2-r0.apk2025-02-05 23:24 1.1M 
[   ]duf-0.8.1-r27.apk2025-07-10 21:44 1.1M 
[   ]yaru-icon-theme-purple-23.10.0-r2.apk2025-03-19 14:14 1.1M 
[   ]cln-dev-1.3.7-r1.apk2025-05-25 09:50 1.1M 
[   ]keydb-6.3.4-r0.apk2024-10-25 22:31 1.1M 
[   ]py3-litex-hub-modules-pyc-2024.04-r0.apk2024-10-25 22:34 1.1M 
[   ]yaru-icon-theme-red-23.10.0-r2.apk2025-03-19 14:14 1.1M 
[   ]ocaml-zed-dev-3.1.0-r3.apk2024-10-25 22:33 1.1M 
[   ]rosenpass-0.2.2-r1.apk2025-02-01 19:23 1.1M 
[   ]speedcrunch-0.12-r3.apk2024-10-25 22:36 1.1M 
[   ]cutechess-1.3.1-r0.apk2024-10-25 22:30 1.1M 
[   ]qflipper-gui-1.3.3-r1.apk2024-10-25 22:34 1.1M 
[   ]circuslinux-data-1.0.3-r1.apk2024-10-25 22:30 1.1M 
[   ]lutris-pyc-0.5.19-r0.apk2025-05-26 13:08 1.1M 
[   ]sing-geosite-20250627153051-r0.apk2025-07-10 21:45 1.1M 
[   ]ocaml-ppx_sexp_conv-dev-0.16.0-r0.apk2024-10-25 22:33 1.1M 
[   ]py3-sphinx-theme-bootstrap-0.8.1-r4.apk2024-10-25 22:34 1.2M 
[   ]wroomd-0.1.0-r0.apk2024-10-25 22:37 1.2M 
[   ]py3-textual-pyc-3.2.0-r0.apk2025-05-14 11:36 1.2M 
[   ]sqlmap-pyc-1.9.6-r0.apk2025-07-03 00:13 1.2M 
[   ]quodlibet-lang-4.6.0-r2.apk2025-05-12 23:26 1.2M 
[   ]leptosfmt-0.1.33-r0.apk2025-03-25 16:22 1.2M 
[   ]go-mtpfs-1.0.0-r28.apk2025-07-10 21:44 1.2M 
[   ]otree-0.4.0-r0.apk2025-07-11 10:46 1.2M 
[   ]ginac-1.8.9-r0.apk2025-05-25 09:50 1.2M 
[   ]frescobaldi-pyc-3.3.0-r1.apk2024-10-25 22:30 1.2M 
[   ]hunspell-fr-7.0-r0.apk2025-06-13 16:32 1.2M 
[   ]libretro-genesis-plus-gx-0_git20230503-r0.apk2024-10-25 22:32 1.2M 
[   ]up-0.4-r30.apk2025-07-10 21:45 1.2M 
[   ]lizardfs-client-3.13.0-r17.apk2025-06-19 11:30 1.2M 
[   ]compiz-lang-0.9.14.2-r11.apk2025-06-12 15:21 1.2M 
[   ]ocaml-uucp-dev-14.0.0-r2.apk2024-10-25 22:33 1.2M 
[   ]orage-lang-4.20.1-r0.apk2025-04-08 13:49 1.2M 
[   ]kismet-logtools-0.202307.1-r8.apk2025-06-12 15:21 1.2M 
[   ]limnoria-pyc-20240828-r0.apk2024-10-25 22:32 1.2M 
[   ]wlroots0.15-dbg-0.15.1-r6.apk2024-10-25 22:37 1.2M 
[   ]octoprint-pyc-1.10.3-r0.apk2024-12-25 23:04 1.2M 
[   ]yaru-icon-theme-mate-23.10.0-r2.apk2025-03-19 14:14 1.2M 
[   ]ocfs2-tools-1.8.7-r4.apk2024-10-25 22:33 1.2M 
[   ]ocaml-lwt-5.7.0-r0.apk2024-10-25 22:33 1.2M 
[   ]dewduct-0.2.3-r0.apk2024-10-25 22:30 1.2M 
[   ]baikal-0.10.1-r1.apk2025-05-27 23:37 1.3M 
[   ]prjtrellis-1.4-r2.apk2024-10-25 22:34 1.3M 
[   ]pest-language-server-0.3.9-r0.apk2024-10-25 22:34 1.3M 
[   ]dcmtk-3.6.9-r0.apk2025-01-19 18:09 1.3M 
[   ]ocaml-tcpip-7.1.2-r3.apk2024-10-25 22:33 1.3M 
[   ]watchbind-0.2.1-r1.apk2024-10-25 22:37 1.3M 
[   ]pegasus-frontend-16_alpha-r0.apk2024-10-25 22:34 1.3M 
[   ]crun-vm-0.3.0-r0.apk2024-11-12 12:44 1.3M 
[   ]ocaml-cohttp-dev-5.3.1-r0.apk2024-10-25 22:33 1.3M 
[   ]ocaml-extlib-dev-1.7.9-r2.apk2024-10-25 22:33 1.3M 
[   ]laze-0.1.31-r0.apk2025-02-18 23:14 1.3M 
[   ]pop-icon-theme-3.5.1-r0.apk2025-03-25 08:55 1.3M 
[   ]boinc-gui-7.24.3-r0.apk2024-10-25 22:29 1.3M 
[   ]eclib-libs-20250627-r0.apk2025-06-28 08:13 1.3M 
[   ]emulationstation-2.11.2-r1.apk2025-03-19 14:12 1.3M 
[   ]ocaml-uunf-14.0.0-r2.apk2024-10-25 22:33 1.3M 
[   ]libabigail-dev-2.3-r0.apk2024-10-25 22:32 1.3M 
[   ]mdbook-katex-0.9.4-r0.apk2025-05-17 12:44 1.3M 
[   ]ocaml-tls-0.15.3-r4.apk2024-10-25 22:33 1.3M 
[   ]chimerautils-14.2.1-r0.apk2025-05-26 01:20 1.3M 
[   ]htslib-tools-1.19-r0.apk2024-10-25 22:31 1.3M 
[   ]cargo-machete-0.8.0-r0.apk2025-05-26 01:24 1.3M 
[   ]ocaml-obuild-0.1.11-r0.apk2024-10-25 22:33 1.3M 
[   ]cargo-update-16.2.1-r0.apk2025-03-23 14:24 1.3M 
[   ]wlroots0.16-dbg-0.16.2-r0.apk2024-10-28 14:48 1.3M 
[   ]makeclapman-2.4.4-r6.apk2025-07-10 21:45 1.3M 
[   ]ocaml-ppx_deriving-dev-5.3.0-r0.apk2024-10-25 22:33 1.3M 
[   ]gnu-apl-1.9-r0.apk2024-10-25 22:31 1.3M 
[   ]repgrep-0.15.0-r0.apk2024-10-25 22:34 1.3M 
[   ]ocaml-qcheck-dev-0.18.1-r3.apk2024-10-25 22:33 1.3M 
[   ]tk9-doc-9.0.2-r0.apk2025-07-03 10:12 1.3M 
[   ]libntl-11.5.1-r4.apk2024-10-25 22:32 1.3M 
[   ]kgraphviewer-2.5.0-r0.apk2024-10-25 22:31 1.3M 
[   ]flawz-0.3.0-r0.apk2024-11-03 22:06 1.3M 
[   ]ocp-indent-1.8.2-r2.apk2024-10-25 22:33 1.3M 
[   ]mobroute-doc-0.10.0-r1.apk2025-07-10 21:45 1.3M 
[   ]lomiri-ui-toolkit-1.3.5110-r1.apk2025-06-28 19:26 1.3M 
[   ]sblg-doc-0.5.11-r0.apk2024-10-25 22:35 1.3M 
[   ]py3-pyglm-2.7.3-r0.apk2024-11-06 10:42 1.3M 
[   ]singular-doc-4.4.1_p2-r0.apk2025-07-02 19:17 1.3M 
[   ]ocaml-camomile-1.0.2-r3.apk2024-10-25 22:33 1.4M 
[   ]mapserver-8.4.0-r2.apk2025-06-11 13:58 1.4M 
[   ]snippets-ls-0.0.4_git20240617-r6.apk2025-07-10 21:45 1.4M 
[   ]mpv-sponsorblock-2.2.0-r0.apk2025-06-16 17:16 1.4M 
[   ]faust-dev-2.79.3-r0.apk2025-06-07 19:02 1.4M 
[   ]ocaml-erm_xmpp-0_git20220404-r2.apk2024-10-25 22:33 1.4M 
[   ]tcl9-doc-9.0.2-r0.apk2025-07-03 10:12 1.4M 
[   ]lomiri-content-hub-doc-2.1.0-r0.apk2025-04-15 03:17 1.4M 
[   ]primesieve-dev-12.8-r0.apk2025-04-29 23:50 1.4M 
[   ]httplz-2.2.0-r0.apk2025-05-18 17:38 1.4M 
[   ]ckb-next-0.6.2-r0.apk2025-03-19 14:12 1.4M 
[   ]hctl-0.2.7-r0.apk2025-05-14 02:04 1.4M 
[   ]pulsar-client-cpp-3.7.1-r0.apk2025-06-12 15:21 1.4M 
[   ]ocaml-labltk-dev-8.06.12-r2.apk2024-10-25 22:33 1.4M 
[   ]lout-3.42.2-r0.apk2024-10-25 22:32 1.4M 
[   ]reaction-2.1.1-r0.apk2025-07-08 22:31 1.4M 
[   ]ijq-1.1.0-r9.apk2025-07-10 21:45 1.4M 
[   ]rustscan-2.3.0-r0.apk2024-10-25 22:35 1.4M 
[   ]dstask-0.26-r15.apk2025-07-10 21:44 1.4M 
[   ]hfst-3.16.2-r0.apk2025-03-28 17:12 1.4M 
[   ]eboard-1.1.3-r1.apk2024-10-25 22:30 1.5M 
[   ]wlroots0.17-dbg-0.17.4-r1.apk2024-11-23 10:30 1.5M 
[   ]beancount-language-server-1.3.6-r0.apk2024-11-06 00:37 1.5M 
[   ]chamo-byte-4.0-r0.apk2024-10-25 22:29 1.5M 
[   ]legume-1.4.2-r10.apk2025-07-10 21:45 1.5M 
[   ]godini-1.0.0-r1.apk2025-07-10 21:44 1.5M 
[   ]keystone-0.9.2-r6.apk2024-10-25 22:31 1.5M 
[   ]elementary-theme-8.1.0-r0.apk2025-01-12 22:27 1.5M 
[   ]freshrss-themes-1.23.1-r1.apk2024-10-25 22:30 1.5M 
[   ]perl-minion-10.31-r0.apk2024-10-25 22:34 1.5M 
[   ]xsane-0.999-r2.apk2024-10-25 22:37 1.5M 
[   ]ocaml-x509-dev-0.16.0-r2.apk2024-10-25 22:33 1.5M 
[   ]freshrss-1.23.1-r1.apk2024-10-25 22:30 1.5M 
[   ]oil-0.21.0-r0.apk2024-10-25 22:33 1.5M 
[   ]nextpnr-gowin-0.7-r0.apk2024-10-25 22:32 1.5M 
[   ]ocaml-sedlex-dev-3.2-r0.apk2024-10-25 22:33 1.5M 
[   ]kjv-0_git20221103-r0.apk2024-10-25 22:31 1.5M 
[   ]ocaml-lablgtk3-extras-dev-3.0.1-r2.apk2024-10-25 22:33 1.5M 
[   ]gnu-apl-doc-1.9-r0.apk2024-10-25 22:31 1.6M 
[   ]mint-y-theme-gtk4-2.1.1-r0.apk2024-10-25 22:32 1.6M 
[   ]voikko-fi-2.5-r0.apk2024-10-25 22:37 1.6M 
[   ]amule-lang-2.3.3-r13.apk2024-10-25 22:29 1.6M 
[   ]youtube-tui-0.8.3-r0.apk2025-05-12 11:02 1.6M 
[   ]ocaml-menhir-20220210-r3.apk2024-12-08 17:20 1.6M 
[   ]ocaml-uri-4.2.0-r2.apk2024-10-25 22:33 1.6M 
[   ]openocd-riscv-0_git20230104-r2.apk2024-10-25 22:34 1.6M 
[   ]scap-workbench-doc-1.2.1-r3.apk2024-10-25 22:35 1.6M 
[   ]boinc-7.24.3-r0.apk2024-10-25 22:29 1.6M 
[   ]seed7-doc-05.20240322-r0.apk2024-10-25 22:36 1.6M 
[   ]mage-1.13.0-r23.apk2025-05-12 06:04 1.6M 
[   ]dufs-0.43.0-r0.apk2025-01-23 19:56 1.6M 
[   ]armagetronad-0.2.9.1.1-r0.apk2024-10-25 22:29 1.6M 
[   ]nwg-bar-0.1.6-r11.apk2025-07-10 21:45 1.6M 
[   ]drogon-1.9.4-r2.apk2025-05-22 08:53 1.6M 
[   ]dcmtk-dev-3.6.9-r0.apk2025-01-19 18:09 1.6M 
[   ]aqemu-0.9.4-r3.apk2024-10-25 22:29 1.6M 
[   ]ocaml-uuseg-tools-14.0.0-r2.apk2024-10-25 22:33 1.6M 
[   ]spike-1.1.0-r0.apk2024-10-25 22:36 1.6M 
[   ]asdf-0.18.0-r1.apk2025-07-10 21:44 1.6M 
[   ]ocaml-yojson-dev-2.1.2-r0.apk2024-10-25 22:33 1.6M 
[   ]brial-dev-1.2.11-r4.apk2024-10-25 22:29 1.6M 
[   ]antimicrox-3.5.1-r0.apk2025-06-13 15:54 1.6M 
[   ]pomo-0.8.1-r24.apk2025-07-10 21:45 1.7M 
[   ]ocaml-erm_xmpp-dev-0_git20220404-r2.apk2024-10-25 22:33 1.7M 
[   ]wl-gammarelay-0.1.1-r15.apk2025-07-10 21:45 1.7M 
[   ]megazeux-2.93d-r0.apk2025-06-10 18:00 1.7M 
[   ]noggin-model-lightweight-0.1-r0.apk2024-10-25 22:33 1.7M 
[   ]libsemigroups-static-2.7.3-r1.apk2024-12-14 20:04 1.7M 
[   ]materia-kde-plasma-20220823-r0.apk2024-10-25 22:32 1.7M 
[   ]fheroes2-1.1.8-r0.apk2025-05-04 20:22 1.7M 
[   ]66-dev-0.8.2.1-r0.apk2025-06-03 21:11 1.7M 
[   ]openocd-git-0_git20240113-r1.apk2024-10-25 22:34 1.7M 
[   ]fplll-strategies-5.5.0-r0.apk2024-11-17 05:06 1.7M 
[   ]fheroes2-lang-1.1.8-r0.apk2025-05-04 20:22 1.7M 
[   ]tomcat9-doc-9.0.105-r0.apk2025-06-08 18:42 1.7M 
[   ]lutgen-0.11.2-r0.apk2024-10-25 22:32 1.7M 
[   ]igrep-1.2.0-r0.apk2024-10-25 22:31 1.8M 
[   ]mkcert-1.4.4-r20.apk2025-07-10 21:45 1.8M 
[   ]ocaml-ca-certs-nss-tools-3.89.1-r1.apk2024-10-25 22:33 1.8M 
[   ]wiki-tui-0.8.2-r1.apk2024-10-25 22:37 1.8M 
[   ]flann-1.9.2-r1.apk2025-02-14 16:28 1.8M 
[   ]mdbook-mermaid-0.15.0-r0.apk2025-05-17 15:07 1.8M 
[   ]nwg-dock-0.4.3-r1.apk2025-07-10 21:45 1.8M 
[   ]percona-toolkit-3.5.4-r1.apk2024-10-25 22:34 1.8M 
[   ]ouch-0.6.1-r0.apk2025-05-28 09:37 1.8M 
[   ]libntl-static-11.5.1-r4.apk2024-10-25 22:32 1.8M 
[   ]keystone-python-0.9.2-r6.apk2024-10-25 22:31 1.8M 
[   ]ffsend-0.2.76-r4.apk2024-10-25 22:30 1.8M 
[   ]sympow-2.023.7-r2.apk2024-10-25 22:36 1.8M 
[   ]py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk2024-10-25 22:34 1.8M 
[   ]quodlibet-pyc-4.6.0-r2.apk2025-05-12 23:26 1.8M 
[   ]gfan-0.6.2-r1.apk2024-10-25 22:31 1.8M 
[   ]sentrypeer-4.0.4-r0.apk2025-03-19 14:13 1.8M 
[   ]efl-dev-1.28.1-r2.apk2025-03-23 16:04 1.8M 
[   ]antibody-6.1.1-r29.apk2025-07-10 21:44 1.8M 
[   ]mint-y-theme-gtk3-2.1.1-r0.apk2024-10-25 22:32 1.8M 
[   ]tintin-2.02.31-r0.apk2024-10-25 22:36 1.9M 
[   ]virtualgl-3.1.3-r0.apk2025-04-21 23:45 1.9M 
[   ]chocolate-doom-3.1.0-r0.apk2024-10-25 22:29 1.9M 
[   ]empede-0.2.3-r0.apk2024-10-25 22:30 1.9M 
[   ]ocaml-iri-1.0.0-r0.apk2024-10-25 22:33 1.9M 
[   ]libretro-beetle-saturn-0_git20220417-r0.apk2024-10-25 22:32 1.9M 
[   ]goxel-0.15.1-r0.apk2024-10-25 22:31 1.9M 
[   ]neocmakelsp-0.8.22-r0.apk2025-05-05 10:12 1.9M 
[   ]ocaml-atd-dev-2.15.0-r0.apk2024-10-25 22:33 1.9M 
[   ]yubikey-agent-0.1.6-r13.apk2025-07-10 21:46 1.9M 
[   ]cadence-0.9.2-r0.apk2024-10-25 22:29 1.9M 
[   ]py3-pyinstaller-6.6.0-r0.apk2024-10-25 22:34 1.9M 
[   ]xmpp-dns-0.2.4-r25.apk2025-07-10 21:46 1.9M 
[   ]tcl9-9.0.2-r0.apk2025-07-03 10:12 1.9M 
[   ]metadata-cleaner-doc-2.5.6-r0.apk2025-07-08 22:56 1.9M 
[   ]vidcutter-pyc-6.0.5.3-r0.apk2024-10-25 22:36 1.9M 
[   ]bacon-3.16.0-r0.apk2025-06-23 15:33 1.9M 
[   ]openfpgaloader-0.11.0-r0.apk2024-10-25 22:33 1.9M 
[   ]daktilo-0.6.0-r0.apk2024-10-25 22:30 1.9M 
[   ]php81-embed-8.1.33-r0.apk2025-07-03 00:13 1.9M 
[   ]php81-apache2-8.1.33-r0.apk2025-07-03 00:13 1.9M 
[   ]py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0.apk2024-10-25 22:34 1.9M 
[   ]php81-cgi-8.1.33-r0.apk2025-07-03 00:13 1.9M 
[   ]tachyon-scenes-0.99_beta6-r1.apk2024-10-25 22:36 1.9M 
[   ]php81-litespeed-8.1.33-r0.apk2025-07-03 00:13 1.9M 
[   ]glslviewer-3.2.4-r1.apk2025-02-09 00:40 2.0M 
[   ]hurl-6.1.1-r0.apk2025-05-03 19:29 2.0M 
[   ]php81-8.1.33-r0.apk2025-07-03 00:13 2.0M 
[   ]ocaml-omake-0.10.6-r0.apk2024-10-25 22:33 2.0M 
[   ]php81-fpm-8.1.33-r0.apk2025-07-03 00:13 2.0M 
[   ]php81-phpdbg-8.1.33-r0.apk2025-07-03 00:13 2.0M 
[   ]openocd-esp32-0_git20250422-r1.apk2025-07-05 21:52 2.0M 
[   ]edward-1.1.0-r0.apk2024-10-25 22:30 2.0M 
[   ]hfst-libs-3.16.2-r0.apk2025-03-28 17:12 2.0M 
[   ]font-stix-otf-2.13-r0.apk2024-10-25 22:30 2.0M 
[   ]icingaweb2-module-businessprocess-doc-2.5.1-r0.apk2025-07-02 08:39 2.0M 
[   ]crispy-doom-7.0-r0.apk2024-10-25 22:30 2.0M 
[   ]homebank-5.9.1-r0.apk2025-05-08 13:07 2.1M 
[   ]9base-6-r2.apk2024-10-25 22:29 2.1M 
[   ]swi-prolog-doc-9.2.9-r0.apk2024-12-21 11:08 2.1M 
[   ]font-monaspace-krypton-1.101-r0.apk2024-10-25 22:30 2.1M 
[   ]xed-lang-3.8.2-r0.apk2025-02-05 23:24 2.1M 
[   ]ocaml-markup-dev-1.0.3-r3.apk2024-10-25 22:33 2.1M 
[   ]android-apkeep-0.17.0-r0.apk2024-10-25 22:29 2.1M 
[   ]mesonlsp-4.3.7-r4.apk2025-06-19 07:35 2.1M 
[   ]prjtrellis-db-ecp5-0_git20230929-r0.apk2024-10-25 22:34 2.1M 
[   ]font-monaspace-neon-1.101-r0.apk2024-10-25 22:30 2.1M 
[   ]primecount-dev-7.17-r0.apk2025-05-16 16:32 2.1M 
[   ]commit-lsp-0.1.0-r0.apk2025-05-08 13:05 2.2M 
[   ]cocogitto-6.3.0-r0.apk2025-03-21 20:28 2.2M 
[   ]ergo-ldap-0.0.1-r18.apk2025-07-10 21:44 2.2M 
[   ]py3-litex-hub-pythondata-software-compiler_rt-2024.04-r0.apk2024-10-25 22:34 2.2M 
[   ]sssd-2.11.0-r0.apk2025-06-06 10:56 2.2M 
[   ]font-monaspace-argon-1.101-r0.apk2024-10-25 22:30 2.2M 
[   ]satellite-1.0.0-r29.apk2025-07-10 21:45 2.2M 
[   ]solarus-engine-1.7.0-r1.apk2025-02-20 19:33 2.2M 
[   ]decoder-0.7.0-r0.apk2025-04-10 15:31 2.2M 
[   ]bootloose-0.7.1-r12.apk2025-07-10 21:44 2.2M 
[   ]trippy-0.13.0-r0.apk2025-05-19 01:20 2.2M 
[   ]sing-geoip-20250612-r0.apk2025-06-16 17:42 2.3M 
[   ]simgear-2024.1.1-r0.apk2025-03-05 01:19 2.3M 
[   ]hitide-0.15.0-r0.apk2024-10-25 22:31 2.3M 
[   ]certstrap-1.3.0-r25.apk2025-07-10 21:44 2.3M 
[   ]watchmate-0.5.3-r0.apk2025-05-16 19:13 2.3M 
[   ]lomiri-location-service-3.3.0-r4.apk2025-06-26 16:20 2.3M 
[   ]protoc-gen-go-1.36.6-r1.apk2025-07-10 21:45 2.3M 
[   ]teapot-tools-0.4.2-r2.apk2024-10-25 22:36 2.3M 
[   ]brltty-6.7-r1.apk2025-03-28 17:12 2.3M 
[   ]ocaml-tls-dev-0.15.3-r4.apk2024-10-25 22:33 2.3M 
[   ]font-monaspace-xenon-1.101-r0.apk2024-10-25 22:30 2.3M 
[   ]ocaml-dns-6.2.2-r3.apk2024-10-25 22:33 2.3M 
[   ]so-0.4.10-r0.apk2024-10-25 22:36 2.3M 
[   ]mir-2.21.0-r1.apk2025-06-29 02:33 2.3M 
[   ]ocaml-tcpip-dev-7.1.2-r3.apk2024-10-25 22:33 2.4M 
[   ]musikcube-3.0.4-r1.apk2025-01-26 20:32 2.4M 
[   ]py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.04-r0.apk2024-10-25 22:34 2.4M 
[   ]dlib-dev-19.24.4-r0.apk2024-10-25 22:30 2.4M 
[   ]ircdog-0.5.4-r6.apk2025-07-10 21:45 2.4M 
[   ]twinkle-1.10.3-r3.apk2025-01-04 22:55 2.4M 
[   ]cpdf-2.8.1-r0.apk2025-05-08 13:05 2.4M 
[   ]stalwart-cli-0.12.5-r0.apk2025-06-25 21:04 2.4M 
[   ]py3-sphinx-theme-guzzle-0.7.11-r7.apk2024-10-25 22:34 2.4M 
[   ]secsipidx-libs-1.3.2-r13.apk2025-07-10 21:45 2.5M 
[   ]ocaml-camomile-dev-1.0.2-r3.apk2024-10-25 22:33 2.5M 
[   ]py3-seqdiag-3.0.0-r5.apk2024-10-25 22:34 2.5M 
[   ]avahi2dns-0.1.0-r2.apk2025-07-10 21:44 2.5M 
[   ]goreman-0.3.15-r14.apk2025-07-10 21:44 2.5M 
[   ]py3-swagger-ui-bundle-1.1.0-r1.apk2024-10-25 22:34 2.5M 
[   ]aprilsh-server-0.7.12-r6.apk2025-07-10 21:44 2.5M 
[   ]ipp-usb-0.9.30-r1.apk2025-07-10 21:45 2.5M 
[   ]py3-blockdiag-tests-3.0.0-r6.apk2025-01-10 13:29 2.5M 
[   ]eclipse-ecj-4.35-r0.apk2025-06-11 11:40 2.5M 
[   ]docker-volume-local-persist-1.3.0-r34.apk2025-07-10 21:44 2.5M 
[   ]monetdb-11.33.11-r4.apk2024-10-25 22:32 2.5M 
[   ]gron-0.7.1-r26.apk2025-07-10 21:44 2.5M 
[   ]wmi-client-1.3.16-r5.apk2024-10-25 22:37 2.5M 
[   ]aports-glmr-0.2-r29.apk2025-07-10 21:44 2.6M 
[   ]tty-proxy-0.0.2-r29.apk2025-07-10 21:45 2.6M 
[   ]libcpdf-2.8.1-r0.apk2025-05-08 13:05 2.6M 
[   ]cargo-generate-0.23.3-r0.apk2025-05-13 23:48 2.6M 
[   ]mmar-0.2.5-r1.apk2025-05-12 06:04 2.6M 
[   ]mailsec-check-0_git20210729-r27.apk2025-07-10 21:45 2.6M 
[   ]gtkwave-3.3.120-r0.apk2024-10-25 22:31 2.6M 
[   ]tangctl-0_git20241007-r5.apk2025-07-10 21:45 2.6M 
[   ]wayfire-0.9.0-r0.apk2025-02-27 21:28 2.6M 
[   ]guetzli-dev-0_git20191025-r2.apk2025-05-25 09:50 2.7M 
[   ]kronosnet-dev-1.30-r0.apk2025-05-28 01:08 2.7M 
[   ]rizin-0.8.1-r0.apk2025-06-30 18:51 2.7M 
[   ]libmdbx-dbg-0.11.8-r0.apk2024-10-25 22:32 2.7M 
[   ]tmpl-0.4.0-r12.apk2025-07-10 21:45 2.7M 
[   ]rizin-cutter-2.4.1-r0.apk2025-06-30 18:51 2.7M 
[   ]k3sup-0.13.6-r6.apk2025-07-10 21:45 2.7M 
[   ]copyq-10.0.0-r0.apk2025-06-25 15:55 2.7M 
[   ]font-monaspace-radon-1.101-r0.apk2024-10-25 22:30 2.7M 
[   ]sos-0.8-r32.apk2025-07-10 21:45 2.7M 
[   ]recoll-1.37.5-r1.apk2024-10-25 22:34 2.8M 
[   ]secsipidx-1.3.2-r13.apk2025-07-10 21:45 2.8M 
[   ]dmarc-cat-0.15.0-r6.apk2025-07-10 21:44 2.8M 
[   ]cherrytree-1.4.0-r0.apk2025-03-26 18:41 2.8M 
[   ]nerdlog-1.10.0-r1.apk2025-07-10 21:45 2.8M 
[   ]yices2-2.6.5-r0.apk2025-03-03 01:04 2.8M 
[   ]vidcutter-6.0.5.3-r0.apk2024-10-25 22:36 2.8M 
[   ]vym-2.9.26-r0.apk2024-10-25 22:37 2.8M 
[   ]sipexer-1.2.0-r4.apk2025-07-10 21:45 2.8M 
[   ]php85-embed-8.5.0_alpha1-r0.apk2025-07-02 19:08 2.8M 
[   ]php85-cgi-8.5.0_alpha1-r0.apk2025-07-02 19:08 2.8M 
[   ]mdbook-linkcheck-0.7.7-r0.apk2025-05-16 21:16 2.8M 
[   ]symengine-0.12.0-r0.apk2024-10-25 22:36 2.8M 
[   ]php85-apache2-8.5.0_alpha1-r0.apk2025-07-02 19:08 2.8M 
[   ]php85-litespeed-8.5.0_alpha1-r0.apk2025-07-02 19:08 2.8M 
[   ]php85-8.5.0_alpha1-r0.apk2025-07-02 19:08 2.9M 
[   ]suru-icon-theme-2025.05.0-r0.apk2025-05-09 19:32 2.9M 
[   ]ocaml-labltk-8.06.12-r2.apk2024-10-25 22:33 2.9M 
[   ]ocaml-happy-eyeballs-lwt-tools-0.3.0-r3.apk2024-10-25 22:33 2.9M 
[   ]hub-2.14.2-r32.apk2025-07-10 21:45 2.9M 
[   ]pyonji-0.1.0-r6.apk2025-07-10 21:45 2.9M 
[   ]consul-replicate-0.4.0-r32.apk2025-07-10 21:44 2.9M 
[   ]php85-fpm-8.5.0_alpha1-r0.apk2025-07-02 19:08 2.9M 
[   ]php85-phpdbg-8.5.0_alpha1-r0.apk2025-07-02 19:08 2.9M 
[   ]msh-2.5.0-r13.apk2025-07-10 21:45 2.9M 
[   ]fceux-2.6.6-r2.apk2024-10-25 22:30 3.0M 
[   ]py3-language-data-pyc-1.3.0-r0.apk2024-12-01 21:08 3.0M 
[   ]normaliz-libs-3.10.4-r2.apk2025-06-13 06:58 3.0M 
[   ]ocaml-mirage-crypto-dev-0.10.6-r3.apk2024-10-25 22:33 3.0M 
[   ]apt-swarm-0.5.1-r0.apk2025-05-26 12:55 3.0M 
[   ]botan2-libs-2.19.5-r0.apk2025-05-28 23:37 3.0M 
[   ]chimerautils-dbg-14.2.1-r0.apk2025-05-26 01:20 3.0M 
[   ]octoprint-1.10.3-r0.apk2024-12-25 23:04 3.0M 
[   ]linphone-libs-5.3.38-r0.apk2024-10-25 22:32 3.1M 
[   ]sonicradio-0.6.15-r2.apk2025-07-10 21:45 3.1M 
[   ]walk-1.13.0-r4.apk2025-07-10 21:45 3.1M 
[   ]simh-3.11.1-r1.apk2024-10-25 22:36 3.1M 
[   ]ocaml-lwt-dev-5.7.0-r0.apk2024-10-25 22:33 3.1M 
[   ]butane-0.24.0-r1.apk2025-07-10 21:44 3.1M 
[   ]ocaml-gitlab-0.1.8-r0.apk2024-10-25 22:33 3.1M 
[   ]sgt-puzzles-0_git20230310-r2.apk2024-10-25 22:36 3.1M 
[   ]lbb-0.9.1-r4.apk2025-07-10 21:45 3.2M 
[   ]libcpdf-static-2.8.1-r0.apk2025-05-08 13:05 3.2M 
[   ]ntpd-rs-1.6.0-r0.apk2025-06-27 15:09 3.2M 
[   ]emulationstation-theme-gbz35-2.11.2-r1.apk2025-03-19 14:12 3.2M 
[   ]gliderlabs-sigil-0.11.0-r6.apk2025-07-10 21:44 3.3M 
[   ]jsonnet-bundler-0.6.0-r6.apk2025-07-10 21:45 3.3M 
[   ]aprilsh-client-0.7.12-r6.apk2025-07-10 21:44 3.3M 
[   ]webhookd-1.20.2-r1.apk2025-07-10 21:45 3.3M 
[   ]drupal7-7.103-r0.apk2024-12-04 18:28 3.3M 
[   ]ocaml-omod-bin-0.0.3-r3.apk2024-10-25 22:33 3.3M 
[   ]openrdap-0.9.1-r0.apk2025-06-24 17:50 3.3M 
[   ]nauty-libs-2.8.9-r0.apk2024-10-25 22:32 3.4M 
[   ]mdcat-2.7.1-r0.apk2024-12-14 19:04 3.4M 
[   ]ruuvi-prometheus-0.1.9-r3.apk2025-07-10 21:45 3.4M 
[   ]hardinfo2-2.2.10-r0.apk2025-05-19 00:17 3.4M 
[   ]vym-doc-2.9.26-r0.apk2024-10-25 22:37 3.4M 
[   ]stardict-help-3.0.6-r6.apk2024-10-25 22:36 3.4M 
[   ]dockerize-0.9.3-r2.apk2025-07-10 21:44 3.4M 
[   ]lomiri-download-manager-doc-0.2.1-r0.apk2025-04-15 03:17 3.4M 
[   ]kubeconform-0.6.6-r8.apk2025-07-10 21:45 3.4M 
[   ]zizmor-1.10.0-r0.apk2025-06-28 19:37 3.4M 
[   ]hilbish-2.3.4-r6.apk2025-07-10 21:44 3.4M 
[   ]dstask-import-0.26-r15.apk2025-07-10 21:44 3.4M 
[   ]frescobaldi-3.3.0-r1.apk2024-10-25 22:30 3.5M 
[   ]khinsider-2.0.7-r21.apk2025-07-10 21:45 3.5M 
[   ]porla-0.41.0-r2.apk2025-02-24 15:34 3.5M 
[   ]phpactor-2025.04.17.0-r0.apk2025-05-30 19:20 3.5M 
[   ]font-openmoji-15.1.0-r0.apk2025-05-27 12:10 3.6M 
[   ]kapow-0.7.1-r14.apk2025-07-10 21:45 3.6M 
[   ]maildir2rss-0.0.7-r6.apk2025-07-10 21:45 3.6M 
[   ]gomp-1.0.0-r13.apk2025-07-10 21:44 3.6M 
[   ]prometheus-ceph-exporter-4.2.5-r5.apk2025-07-10 21:45 3.6M 
[   ]ocaml-containers-3.7-r2.apk2024-10-25 22:33 3.6M 
[   ]webtunnel-0.0.2-r2.apk2025-07-10 21:45 3.7M 
[   ]netscanner-0.5.1-r1.apk2025-07-01 21:03 3.7M 
[   ]prometheus-unbound-exporter-0.4.6-r5.apk2025-05-12 06:04 3.7M 
[   ]lomiri-gallery-app-3.0.2-r1.apk2025-03-19 14:13 3.7M 
[   ]alpine-lift-0.2.0-r24.apk2025-07-10 21:44 3.7M 
[   ]certigo-1.16.0-r24.apk2025-07-10 21:44 3.8M 
[   ]advancemame-mess-3.9-r4.apk2024-10-25 22:29 3.8M 
[   ]ghq-1.8.0-r2.apk2025-07-10 21:44 3.8M 
[   ]tty-share-2.4.0-r19.apk2025-07-10 21:45 3.8M 
[   ]openfire-doc-4.8.1-r1.apk2024-12-03 15:37 3.8M 
[   ]autorestic-1.8.3-r6.apk2025-07-10 21:44 3.8M 
[   ]ocaml-lambda-term-3.2.0-r4.apk2024-10-25 22:33 3.8M 
[   ]ocaml-lambda-term-dev-3.2.0-r4.apk2024-10-25 22:33 3.8M 
[   ]comics-downloader-0.33.8-r11.apk2025-07-10 21:44 3.8M 
[   ]libretro-mame2000-0_git20240701-r0.apk2024-10-25 22:32 3.9M 
[   ]otrs-dev-6.0.48-r2.apk2024-10-25 22:34 3.9M 
[   ]dive-0.13.0-r3.apk2025-07-10 21:44 3.9M 
[   ]modem-manager-gui-doc-0.0.20-r0.apk2024-10-25 22:32 3.9M 
[   ]speedtest_exporter-0.3.2-r16.apk2025-07-10 21:45 3.9M 
[   ]phoronix-test-suite-10.8.4-r2.apk2024-10-25 22:34 3.9M 
[   ]flint-3.3.1-r0.apk2025-06-17 06:29 3.9M 
[   ]lomiri-0.5.0-r0.apk2025-05-09 19:32 4.0M 
[   ]manifest-tool-2.2.0-r2.apk2025-07-10 21:45 4.0M 
[   ]wgcf-2.2.26-r1.apk2025-07-10 21:45 4.0M 
[   ]rtl88x2bu-src-5.13.1_git20230711-r0.apk2024-10-25 22:35 4.0M 
[   ]pathvector-6.3.2-r14.apk2025-07-10 21:45 4.0M 
[   ]java-jtharness-6.0_p12-r0.apk2024-10-25 22:31 4.0M 
[   ]py3-drf-yasg-1.21.7-r2.apk2024-10-25 22:34 4.1M 
[   ]invidtui-0.4.6-r6.apk2025-07-10 21:45 4.1M 
[   ]olab-0.1.8-r0.apk2024-10-25 22:33 4.1M 
[   ]ticker-4.8.1-r3.apk2025-07-10 21:45 4.1M 
[   ]mkbrr-1.13.0-r1.apk2025-07-10 21:45 4.1M 
[   ]ocaml-dns-dev-6.2.2-r3.apk2024-10-25 22:33 4.1M 
[   ]lotide-0.15.0-r0.apk2024-10-25 22:32 4.1M 
[   ]ocaml-gettext-0.4.2-r3.apk2024-10-25 22:33 4.1M 
[   ]muse-doc-4.2.1-r2.apk2025-05-12 13:59 4.1M 
[   ]exercism-3.2.0-r14.apk2025-07-10 21:44 4.1M 
[   ]chamo-dev-4.0-r0.apk2024-10-25 22:29 4.1M 
[   ]openocd-git-dbg-0_git20240113-r1.apk2024-10-25 22:34 4.1M 
[   ]cortex-tenant-1.15.2-r7.apk2025-07-10 21:44 4.2M 
[   ]prometheus-rethinkdb-exporter-1.0.1-r29.apk2025-07-10 21:45 4.2M 
[   ]openapi-tui-0.9.4-r1.apk2024-10-25 22:33 4.2M 
[   ]nauty-dev-2.8.9-r0.apk2024-10-25 22:32 4.3M 
[   ]gotify-cli-2.3.2-r6.apk2025-07-10 21:44 4.3M 
[   ]projectm-presets-3.1.12-r2.apk2024-10-25 22:34 4.3M 
[   ]prometheus-ipmi-exporter-1.8.0-r5.apk2025-05-12 06:04 4.4M 
[   ]arc-icon-theme-20161122-r0.apk2024-10-25 22:29 4.4M 
[   ]pspp-dbg-2.0.1-r0.apk2024-10-25 22:34 4.4M 
[   ]ocaml-uri-dev-4.2.0-r2.apk2024-10-25 22:33 4.4M 
[   ]rustical-0.4.11-r0.apk2025-07-06 21:54 4.4M 
[   ]fq-0.13.0-r6.apk2025-07-10 21:44 4.4M 
[   ]flare-engine-1.14-r0.apk2024-10-25 22:30 4.4M 
[   ]jsonnet-language-server-0.15.0-r5.apk2025-07-10 21:45 4.4M 
[   ]geodns-logs-3.3.0-r14.apk2025-07-10 21:44 4.4M 
[   ]wabt-1.0.37-r0.apk2025-04-06 23:38 4.5M 
[   ]mqtt2prometheus-0.1.7-r17.apk2025-07-10 21:45 4.5M 
[   ]yoshimi-doc-2.3.3.3-r0.apk2025-03-19 14:14 4.5M 
[   ]dnote-0.15.1-r6.apk2025-07-10 21:44 4.5M 
[   ]mtg-2.1.7-r22.apk2025-07-10 21:45 4.5M 
[   ]amule-2.3.3-r13.apk2024-10-25 22:29 4.5M 
[   ]acmetool-0.2.2-r14.apk2025-07-10 21:44 4.6M 
[   ]tanka-0.32.0-r1.apk2025-07-10 21:45 4.6M 
[   ]geonames-lang-0.3.1-r2.apk2024-10-25 22:31 4.6M 
[   ]svls-0.2.12-r0.apk2024-10-25 22:36 4.6M 
[   ]tqm-1.13.0-r1.apk2025-07-10 21:45 4.6M 
[   ]ytt-0.52.0-r2.apk2025-07-10 21:46 4.6M 
[   ]ocaml-ppx_blob-0.8.0-r0.apk2024-10-25 22:33 4.6M 
[   ]kubectl-krew-0.4.5-r3.apk2025-07-10 21:45 4.6M 
[   ]ocaml-base-0.16.3-r0.apk2024-10-25 22:33 4.6M 
[   ]mobroute-0.10.0-r1.apk2025-07-10 21:45 4.6M 
[   ]reg-0.16.1-r29.apk2025-07-10 21:45 4.7M 
[   ]py3-litex-hub-pythondata-software-picolibc-2024.04-r0.apk2024-10-25 22:34 4.7M 
[   ]gx-0.14.3-r31.apk2025-07-10 21:44 4.7M 
[   ]prometheus-smartctl-exporter-0.14.0-r1.apk2025-07-10 21:45 4.7M 
[   ]xemu-0.8.74-r0.apk2025-06-19 02:16 4.7M 
[   ]jackline-0.1.0-r3.apk2024-10-25 22:31 4.7M 
[   ]ocaml-lwt_ppx-5.7.0-r0.apk2024-10-25 22:33 4.7M 
[   ]ocaml-cpdf-2.8.1-r0.apk2025-05-08 13:05 4.8M 
[   ]libsymmetrica-3.0.1-r2.apk2024-10-25 22:32 4.8M 
[   ]templ-0.3.850-r3.apk2025-07-10 21:45 4.8M 
[   ]ostui-1.0.3-r2.apk2025-05-12 06:04 4.8M 
[   ]prometheus-opnsense-exporter-0.0.8-r1.apk2025-07-10 21:45 4.9M 
[   ]geodns-3.3.0-r14.apk2025-07-10 21:44 4.9M 
[   ]cargo-udeps-0.1.56-r2.apk2025-06-08 18:40 4.9M 
[   ]tfupdate-0.8.2-r7.apk2025-07-10 21:45 4.9M 
[   ]fathom-1.3.1-r14.apk2025-07-10 21:44 4.9M 
[   ]pacoloco-1.7-r3.apk2025-07-10 21:45 4.9M 
[   ]py3-nwdiag-3.0.0-r3.apk2024-10-25 22:34 4.9M 
[   ]envconsul-0.13.3-r4.apk2025-07-10 21:44 4.9M 
[   ]py3-language-data-1.3.0-r0.apk2024-12-01 21:08 5.0M 
[   ]nzbget-25.2-r0.apk2025-07-05 00:10 5.0M 
[   ]ocaml-bitstring-4.1.0-r3.apk2024-10-25 22:33 5.0M 
[   ]gx-go-1.9.0-r33.apk2025-07-10 21:44 5.0M 
[   ]ssh-cert-authority-2.0.0-r27.apk2025-07-10 21:45 5.0M 
[   ]cargo-shuttle-0.56.1-r0.apk2025-07-08 10:16 5.0M 
[   ]zot-exporter-2.1.2-r5.apk2025-07-10 21:46 5.0M 
[   ]elementary-icon-theme-8.1.0-r0.apk2025-05-13 08:37 5.0M 
[   ]qtox-1.17.6-r6.apk2024-10-25 22:34 5.1M 
[   ]conntracct-0.2.7-r32.apk2025-07-10 21:44 5.1M 
[   ]ocaml-cstruct-6.1.0-r3.apk2024-10-25 22:33 5.1M 
[   ]ocaml-camomile-data-1.0.2-r3.apk2024-10-25 22:33 5.1M 
[   ]swi-prolog-9.2.9-r0.apk2024-12-21 11:08 5.1M 
[   ]s5cmd-2.3.0-r4.apk2025-07-10 21:45 5.1M 
[   ]dustracing2d-2.1.1-r1.apk2024-10-25 22:30 5.1M 
[   ]dhewm3-1.5.4-r0.apk2025-02-14 16:28 5.2M 
[   ]openjdk22-demos-22.0.2_p9-r3.apk2025-02-14 16:28 5.2M 
[   ]openjdk23-demos-23.0.2_p7-r1.apk2025-02-14 16:28 5.2M 
[   ]abc-0_git20240102-r0.apk2024-10-25 22:29 5.2M 
[   ]meli-0.8.11-r0.apk2025-05-04 16:22 5.3M 
[   ]ocaml-sedlex-3.2-r0.apk2024-10-25 22:33 5.3M 
[   ]atac-0.18.1-r0.apk2024-11-25 22:57 5.3M 
[   ]openjdk24-demos-24.0.1_p9-r0.apk2025-06-09 10:30 5.3M 
[   ]lefthook-1.11.14-r1.apk2025-07-10 21:45 5.3M 
[   ]scalingo-1.30.0-r11.apk2025-07-10 21:45 5.4M 
[   ]speedtest-go-1.1.5-r16.apk2025-07-10 21:45 5.5M 
[   ]ocamlnet-dev-4.1.9-r2.apk2024-10-25 22:33 5.5M 
[   ]fxfloorboard-katana-mk2-20240515-r1.apk2024-10-25 22:30 5.6M 
[   ]py3-litex-hub-pythondata-cpu-blackparrot-2024.04-r0.apk2024-10-25 22:34 5.6M 
[   ]cargo-geiger-0.12.0-r0.apk2025-05-26 13:06 5.6M 
[   ]kubectl-oidc_login-1.32.4-r1.apk2025-07-10 21:45 5.6M 
[   ]i3status-rust-0.33.2-r0.apk2024-11-11 04:19 5.6M 
[   ]comics-downloader-gui-0.33.8-r11.apk2025-07-10 21:44 5.6M 
[   ]alps-0_git20230807-r13.apk2025-07-10 21:44 5.6M 
[   ]secsipidx-dev-1.3.2-r13.apk2025-07-10 21:45 5.6M 
[   ]ocaml-bisect_ppx-2.8.3-r0.apk2024-10-25 22:33 5.6M 
[   ]singular-static-4.4.1_p2-r0.apk2025-07-02 19:17 5.6M 
[   ]ocaml-uucp-14.0.0-r2.apk2024-10-25 22:33 5.7M 
[   ]rizin-libs-0.8.1-r0.apk2025-06-30 18:51 5.7M 
[   ]ocaml-higlo-0.9-r0.apk2024-10-25 22:33 5.7M 
[   ]qbittorrent-cli-2.2.0-r1.apk2025-07-10 21:45 5.7M 
[   ]advancemame-data-3.9-r4.apk2024-10-25 22:29 5.8M 
[   ]pihole-6.2.3-r0.apk2025-06-20 20:05 5.8M 
[   ]spacectl-1.12.0-r2.apk2025-07-10 21:45 5.8M 
[   ]virter-0.29.0-r1.apk2025-07-10 21:45 5.8M 
[   ]pypy3-pyc-7.3.12-r0.apk2024-10-25 22:34 5.9M 
[   ]cvise-2.11.0-r0.apk2025-03-08 14:15 5.9M 
[   ]drone-cli-1.8.0-r11.apk2025-07-10 21:44 5.9M 
[   ]upterm-server-0.14.3-r6.apk2025-07-10 21:45 6.0M 
[   ]ocaml-camlpdf-2.8.1-r0.apk2025-05-08 13:05 6.0M 
[   ]glow-2.1.1-r1.apk2025-07-10 21:44 6.1M 
[   ]ocaml-ppx_deriving-5.3.0-r0.apk2024-10-25 22:33 6.1M 
[   ]wf-shell-0.9.0-r0.apk2025-02-27 21:28 6.1M 
[   ]upterm-0.14.3-r6.apk2025-07-10 21:45 6.1M 
[   ]yoshimi-2.3.3.3-r0.apk2025-03-19 14:14 6.1M 
[   ]murex-7.0.2107-r1.apk2025-07-10 21:45 6.2M 
[   ]go-passbolt-cli-0.3.2-r4.apk2025-07-10 21:44 6.2M 
[   ]rustic-0.9.3-r0.apk2025-06-09 04:21 6.2M 
[   ]compiz-0.9.14.2-r11.apk2025-06-12 15:21 6.3M 
[   ]ocaml-stk-0.1.0-r0.apk2024-10-25 22:33 6.3M 
[   ]goshs-1.1.0-r1.apk2025-07-10 21:44 6.3M 
[   ]theforceengine-doc-1.09.540-r1.apk2024-10-25 22:36 6.3M 
[   ]kannel-1.5.0-r11.apk2024-10-25 22:31 6.4M 
[   ]muse-4.2.1-r2.apk2025-05-12 13:59 6.4M 
[   ]libsymmetrica-static-3.0.1-r2.apk2024-10-25 22:32 6.5M 
[   ]lxd-client-5.0.3-r12.apk2025-07-10 21:45 6.5M 
[   ]py3-flask-admin-1.6.1-r3.apk2024-10-25 22:34 6.5M 
[   ]libdcmtk-3.6.9-r0.apk2025-01-19 18:09 6.6M 
[   ]clementine-1.4.1_git20250503-r0.apk2025-06-12 15:21 6.6M 
[   ]openjdk22-jdk-22.0.2_p9-r3.apk2025-02-14 16:28 6.6M 
[   ]ocaml-containers-dev-3.7-r2.apk2024-10-25 22:33 6.6M 
[   ]go-jsonnet-0.21.0-r1.apk2025-07-10 21:44 6.7M 
[   ]rattler-build-0.18.0-r0.apk2024-10-25 22:34 6.7M 
[   ]tempo-query-2.8.1-r1.apk2025-07-10 21:45 6.7M 
[   ]ocp-index-1.3.6-r0.apk2024-10-25 22:33 6.7M 
[   ]tomcat9-9.0.105-r0.apk2025-06-08 18:42 6.7M 
[   ]openjdk23-jdk-23.0.2_p7-r1.apk2025-02-14 16:28 6.7M 
[   ]sqlmap-1.9.6-r0.apk2025-07-03 00:13 6.8M 
[   ]nauty-2.8.9-r0.apk2024-10-25 22:32 6.9M 
[   ]gambit-dev-4.9.5-r1.apk2025-04-07 09:46 6.9M 
[   ]theforceengine-1.09.540-r1.apk2024-10-25 22:36 7.0M 
[   ]openjdk24-jdk-24.0.1_p9-r0.apk2025-06-09 10:30 7.1M 
[   ]nom-2.8.0-r4.apk2025-07-10 21:45 7.1M 
[   ]gb-0.4.4-r32.apk2025-07-10 21:44 7.1M 
[   ]amdgpu_top-0.10.5-r0.apk2025-06-12 15:21 7.2M 
[   ]kompose-1.31.2-r11.apk2025-07-10 21:45 7.3M 
[   ]filebrowser-2.27.0-r12.apk2025-07-10 21:44 7.5M 
[   ]ovn-24.03.1-r0.apk2024-10-25 22:34 7.5M 
[   ]yaegi-0.16.1-r9.apk2025-07-10 21:46 7.5M 
[   ]azote-1.14.0-r0.apk2024-12-14 21:38 7.6M 
[   ]desync-0.9.6-r6.apk2025-07-10 21:44 7.7M 
[   ]anubis-1.20.0-r1.apk2025-07-10 21:44 7.7M 
[   ]protoconf-0.1.7-r14.apk2025-07-10 21:45 7.8M 
[   ]fplll-libs-5.5.0-r0.apk2024-11-17 05:06 7.8M 
[   ]ocaml-atd-2.15.0-r0.apk2024-10-25 22:33 7.9M 
[   ]py3-okonomiyaki-2.0.0-r0.apk2024-10-25 22:34 7.9M 
[   ]chamo-4.0-r0.apk2024-10-25 22:29 7.9M 
[   ]glmark2-2023.01-r1.apk2024-10-25 22:31 8.0M 
[   ]kine-0.10.1-r14.apk2025-07-10 21:45 8.0M 
[   ]warp-s3-1.2.0-r0.apk2025-07-11 01:12 8.1M 
[   ]go-away-0.7.0-r0.apk2025-06-10 19:47 8.1M 
[   ]psst-0_git20240526-r1.apk2024-10-25 22:34 8.2M 
[   ]coccinelle-1.1.1-r2.apk2024-10-25 22:30 8.2M 
[   ]ocaml-lablgtk3-3.1.2-r3.apk2024-10-25 22:33 8.3M 
[   ]grpcurl-1.9.3-r3.apk2025-07-10 21:44 8.3M 
[   ]mir-dev-2.21.0-r1.apk2025-06-29 02:33 8.3M 
[   ]transito-0.9.1-r7.apk2025-07-10 21:45 8.4M 
[   ]py3-apicula-0.11.1-r1.apk2024-10-25 22:34 8.5M 
[   ]faust-2.79.3-r0.apk2025-06-07 19:02 8.6M 
[   ]fplll-static-5.5.0-r0.apk2024-11-17 05:06 8.6M 
[   ]grpcui-1.4.3-r5.apk2025-07-10 21:44 8.8M 
[   ]oauth2-proxy-7.8.1-r3.apk2025-07-10 21:45 8.8M 
[   ]cc65-2.19-r0.apk2024-10-25 22:29 8.9M 
[   ]lumina-desktop-core-1.6.2-r0.apk2024-10-25 22:32 9.0M 
[   ]opcr-policy-0.3.0-r5.apk2025-07-10 21:45 9.0M 
[   ]linphone-5.3.38-r0.apk2024-10-25 22:32 9.0M 
[   ]tabiew-0.7.1-r0.apk2024-11-25 22:49 9.0M 
[   ]ocaml-cohttp-tools-5.3.1-r0.apk2024-10-25 22:33 9.0M 
[   ]libretro-mame2003-0_git20240904-r0.apk2024-10-25 22:32 9.1M 
[   ]bomctl-0.1.9-r7.apk2025-07-10 21:44 9.3M 
[   ]cloudflared-2024.12.1-r7.apk2025-07-10 21:44 9.4M 
[   ]davmail-6.3.0-r0.apk2025-04-17 19:57 9.4M 
[   ]jfrog-cli-2.45.0-r13.apk2025-07-10 21:45 9.4M 
[   ]maxima-doc-extra-5.47.0-r9.apk2025-07-07 23:30 9.4M 
[   ]ocaml-base-dev-0.16.3-r0.apk2024-10-25 22:33 9.5M 
[   ]tenv-4.7.6-r1.apk2025-07-10 21:45 9.6M 
[   ]naabu-2.3.4-r3.apk2025-07-10 21:45 9.6M 
[   ]docker-auth-1.13.0-r5.apk2025-07-10 21:44 9.6M 
[   ]ocaml-ocf-0.8.0-r3.apk2024-10-25 22:33 9.8M 
[   ]ocaml-stk-dev-0.1.0-r0.apk2024-10-25 22:33 9.9M 
[   ]gotify-2.5.0-r7.apk2025-07-10 21:44 9.9M 
[   ]openapi-validator-1.19.2-r0.apk2024-10-25 22:33 9.9M 
[   ]remco-0.12.5-r1.apk2025-07-10 21:45 9.9M 
[   ]crowdsec-splunk-plugin-1.6.9-r1.apk2025-07-10 21:44 9.9M 
[   ]crowdsec-sentinel-plugin-1.6.9-r1.apk2025-07-10 21:44 9.9M 
[   ]crowdsec-http-plugin-1.6.9-r1.apk2025-07-10 21:44 9.9M 
[   ]zot-cli-2.1.2-r5.apk2025-07-10 21:46 10M 
[   ]crowdsec-slack-plugin-1.6.9-r1.apk2025-07-10 21:44 10M 
[   ]crowdsec-email-plugin-1.6.9-r1.apk2025-07-10 21:44 10M 
[   ]pspp-2.0.1-r0.apk2024-10-25 22:34 10M 
[   ]git-bug-0.8.1-r2.apk2025-07-10 21:44 10M 
[   ]py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk2024-10-25 22:34 10M 
[   ]maddy-0.8.1-r4.apk2025-07-10 21:45 10M 
[   ]undock-0.10.0-r1.apk2025-07-10 21:45 10M 
[   ]seed7-05.20240322-r0.apk2024-10-25 22:36 10M 
[   ]singular-4.4.1_p2-r0.apk2025-07-02 19:17 10M 
[   ]mangal-4.0.6-r19.apk2025-07-10 21:45 10M 
[   ]ko-0.17.1-r6.apk2025-07-10 21:45 10M 
[   ]sonar-scanner-7.1.0.4889-r0.apk2025-05-05 02:02 11M 
[   ]stayrtr-0.6.2-r5.apk2025-07-10 21:45 11M 
[   ]ovn-dev-24.03.1-r0.apk2024-10-25 22:34 11M 
[   ]adguardhome-0.107.62-r1.apk2025-07-10 21:44 11M 
[   ]haxe-4.3.3-r1.apk2025-03-22 15:34 11M 
[   ]dbmate-2.26.0-r3.apk2025-07-10 21:44 11M 
[   ]ocaml-xtmpl-0.19.0-r0.apk2024-10-25 22:33 11M 
[   ]chasquid-1.15.0-r5.apk2025-07-10 21:44 11M 
[   ]helm-ls-0.0.12-r10.apk2025-07-10 21:44 11M 
[   ]flightgear-2024.1.1-r0.apk2025-03-05 01:19 12M 
[   ]ocaml-gitlab-dev-0.1.8-r0.apk2024-10-25 22:33 12M 
[   ]gost-3.1.0-r1.apk2025-07-10 21:44 12M 
[   ]kubeseal-0.30.0-r1.apk2025-07-10 21:45 12M 
[   ]helm-unittest-0.8.2-r1.apk2025-07-10 21:44 12M 
[   ]cataclysm-dda-curses-0h-r0.apk2025-03-20 01:48 12M 
[   ]mapnik-4.0.6-r1.apk2025-06-10 12:21 12M 
[   ]regal-0.33.1-r1.apk2025-07-10 21:45 12M 
[   ]noggin-model-0.1-r0.apk2024-10-25 22:33 12M 
[   ]sing-box-1.11.15-r0.apk2025-07-10 21:45 12M 
[   ]jackal-0.64.0-r16.apk2025-07-10 21:45 12M 
[   ]melange-0.29.1-r0.apk2025-07-10 21:45 12M 
[   ]linkquisition-1.6.1-r6.apk2025-07-10 21:45 12M 
[   ]gambit-4.9.5-r1.apk2025-04-07 09:46 12M 
[   ]rt6-6.0.0-r0.apk2025-06-21 17:39 12M 
[   ]advancemame-3.9-r4.apk2024-10-25 22:29 12M 
[   ]ocaml-dns-tools-6.2.2-r3.apk2024-10-25 22:33 13M 
[   ]kismet-0.202307.1-r8.apk2025-06-12 15:21 13M 
[   ]libretro-fbneo-0_git20220416-r0.apk2024-10-25 22:32 13M 
[   ]pop-cursor-theme-3.5.1-r0.apk2025-03-25 08:55 13M 
[   ]pypy3-tests-7.3.12-r0.apk2024-10-25 22:34 13M 
[   ]gprbuild-22.0.0-r3.apk2024-10-25 22:31 13M 
[   ]utop-2.9.1-r4.apk2024-10-25 22:36 13M 
[   ]utop-full-2.9.1-r4.apk2024-10-25 22:36 13M 
[   ]httpx-1.7.0-r3.apk2025-07-10 21:45 13M 
[   ]regclient-0.8.3-r1.apk2025-07-10 21:45 13M 
[   ]tempo-vulture-2.8.1-r1.apk2025-07-10 21:45 13M 
[   ]buf-1.54.0-r1.apk2025-07-10 21:44 14M 
[   ]linuxkit-1.6.0-r1.apk2025-07-10 21:45 14M 
[   ]typlite-0.13.12-r0.apk2025-05-03 11:47 14M 
[   ]kube-no-trouble-0.7.3-r6.apk2025-07-10 21:45 14M 
[   ]ocaml-lablgtk3-dev-3.1.2-r3.apk2024-10-25 22:33 14M 
[   ]katana-1.1.3-r2.apk2025-07-10 21:45 14M 
[   ]taskcafe-0.3.6-r14.apk2025-07-10 21:45 14M 
[   ]py3-jupyterlab3-3.6.7-r0.apk2025-03-19 14:13 14M 
[   ]ocaml-ppxlib-0.32.0-r0.apk2024-10-25 22:33 14M 
[   ]buf-protoc-plugins-1.54.0-r1.apk2025-07-10 21:44 14M 
[   ]yass-2.5.0-r0.apk2024-10-25 22:37 14M 
[   ]vice-3.9-r0.apk2025-02-24 22:00 14M 
[   ]virtctl-1.5.1-r1.apk2025-07-10 21:45 15M 
[   ]libresprite-1.2-r0.apk2025-04-15 03:17 15M 
[   ]dnscontrol-4.21.0-r1.apk2025-07-10 21:44 15M 
[   ]lxd-5.0.3-r12.apk2025-07-10 21:45 15M 
[   ]merlin-4.14-r0.apk2024-10-25 22:32 15M 
[   ]btcd-0.24.0-r9.apk2025-07-10 21:44 15M 
[   ]prometheus-podman-exporter-1.17.1-r0.apk2025-07-10 21:45 16M 
[   ]ocaml-reason-3.8.2-r1.apk2024-10-25 22:33 16M 
[   ]ocaml-ppxlib-dev-0.32.0-r0.apk2024-10-25 22:33 16M 
[   ]openjdk23-static-libs-23.0.2_p7-r1.apk2025-02-14 16:28 16M 
[   ]openjdk22-static-libs-22.0.2_p9-r3.apk2025-02-14 16:28 16M 
[   ]kubepug-1.7.1-r11.apk2025-07-10 21:45 16M 
[   ]icestorm-0_git20240517-r0.apk2024-10-25 22:31 17M 
[   ]faust-doc-2.79.3-r0.apk2025-06-07 19:02 17M 
[   ]kopia-0.19.0-r5.apk2025-07-10 21:45 17M 
[   ]openjdk24-static-libs-24.0.1_p9-r0.apk2025-06-09 10:30 17M 
[   ]rt5-5.0.8-r0.apk2025-06-15 06:44 17M 
[   ]stalwart-mail-0.12.5-r0.apk2025-06-25 21:04 17M 
[   ]ocamlnet-4.1.9-r2.apk2024-10-25 22:33 17M 
[   ]bestline-doc-0.0_git20211108-r0.apk2024-10-25 22:29 18M 
[   ]stern-1.32.0-r5.apk2025-07-10 21:45 18M 
[   ]lomiri-sounds-22.02-r1.apk2025-03-19 14:13 18M 
[   ]hubble-cli-0.13.6-r6.apk2025-07-10 21:45 18M 
[   ]keybase-client-6.2.8-r11.apk2025-07-10 21:45 18M 
[   ]font-babelstone-han-15.1.3-r0.apk2024-10-25 22:30 18M 
[   ]tinymist-0.13.12-r0.apk2025-05-03 11:47 19M 
[   ]zafiro-icon-theme-1.3-r0.apk2024-10-25 22:37 19M 
[   ]cataclysm-dda-0h-r0.apk2025-03-20 01:48 19M 
[   ]bettercap-2.41.0-r3.apk2025-07-10 21:44 19M 
[   ]py3-litex-hub-pythondata-cpu-microwatt-2024.04-r0.apk2024-10-25 22:34 19M 
[   ]helm-mapkubeapis-0.5.2-r7.apk2025-07-10 21:44 20M 
[   ]wtfutil-0.43.0-r14.apk2025-07-10 21:46 20M 
[   ]rke-1.4.3-r16.apk2025-07-10 21:45 20M 
[   ]libretro-scummvm-0_git20210325-r0.apk2024-10-25 22:32 20M 
[   ]pypy3-7.3.12-r0.apk2024-10-25 22:34 20M 
[   ]eclib-static-20250627-r0.apk2025-06-28 08:13 20M 
[   ]py3-plotly-5.24.1-r2.apk2025-04-19 00:11 20M 
[   ]pypy-7.3.12-r0.apk2024-10-25 22:34 21M 
[   ]flightgear-dbg-2024.1.1-r0.apk2025-03-05 01:19 21M 
[   ]helm-diff-3.11.0-r2.apk2025-07-10 21:44 21M 
[   ]thanos-0.31.0-r14.apk2025-07-10 21:45 21M 
[   ]tempo-cli-2.8.1-r1.apk2025-07-10 21:45 21M 
[   ]pypy-bootstrap-7.3.12-r0.apk2024-10-25 22:34 22M 
[   ]maxima-5.47.0-r9.apk2025-07-07 23:30 22M 
[   ]merlin-dev-4.14-r0.apk2024-10-25 22:32 22M 
[   ]mint-x-icons-1.7.2-r0.apk2024-12-24 11:07 22M 
[   ]angband-4.2.5-r0.apk2024-10-25 22:29 23M 
[   ]reason-3.8.2-r1.apk2024-10-25 22:34 23M 
[   ]timoni-0.23.0-r6.apk2025-07-10 21:45 23M 
[   ]knative-client-1.18.0-r1.apk2025-07-10 21:45 24M 
[   ]reason-rtop-3.8.2-r1.apk2024-10-25 22:34 24M 
[   ]devpod-0.6.15-r5.apk2025-07-10 21:44 24M 
[   ]android-apktool-2.12.0-r0.apk2025-07-06 16:14 24M 
[   ]nsq-1.3.0-r10.apk2025-05-12 06:04 26M 
[   ]ovn-dbg-24.03.1-r0.apk2024-10-25 22:34 26M 
[   ]lxd-scripts-5.0.3-r12.apk2025-07-10 21:45 27M 
[   ]kubeone-1.10.0-r1.apk2025-07-10 21:45 27M 
[   ]nextpnr-ecp5-0.7-r0.apk2024-10-25 22:32 28M 
[   ]popeye-0.22.1-r5.apk2025-07-10 21:45 28M 
[   ]thelounge-4.4.3-r0.apk2024-10-25 22:36 28M 
[   ]ocaml-reason-dev-3.8.2-r1.apk2024-10-25 22:33 28M 
[   ]otrs-6.0.48-r2.apk2024-10-25 22:34 29M 
[   ]spark-2.8.3-r1.apk2024-10-25 22:36 29M 
[   ]font-hanazono-20170904-r1.apk2024-10-25 22:30 29M 
[   ]vals-0.41.2-r1.apk2025-07-10 21:45 29M 
[   ]gossip-0.14.0-r0.apk2025-03-19 14:12 29M 
[   ]cfssl-1.6.5-r6.apk2025-07-10 21:44 30M 
[   ]tempo-2.8.1-r1.apk2025-07-10 21:45 30M 
[   ]usql-0.15.6-r14.apk2025-07-10 21:45 30M 
[   ]oxygen-icons-6.1.0-r0.apk2024-10-25 22:34 32M 
[   ]liquibase-4.9.1-r0.apk2024-10-25 22:32 32M 
[   ]openjdk24-doc-24.0.1_p9-r0.apk2025-06-09 10:30 32M 
[   ]zfs-src-2.3.3-r0.apk2025-06-21 16:04 33M 
[   ]stone-soup-0.32.1-r0.apk2024-10-25 22:36 33M 
[   ]cdogs-sdl-2.1.0-r0.apk2024-10-25 22:29 33M 
[   ]efl-1.28.1-r2.apk2025-03-23 16:03 34M 
[   ]yaru-icon-theme-23.10.0-r2.apk2025-03-19 14:14 35M 
[   ]crowdsec-1.6.9-r1.apk2025-07-10 21:44 35M 
[   ]dolt-1.55.3-r1.apk2025-07-10 21:44 37M 
[   ]cataclysm-dda-lang-0h-r0.apk2025-03-20 01:48 38M 
[   ]ma1sd-2.5.0-r3.apk2024-10-25 22:32 38M 
[   ]argocd-3.0.0-r2.apk2025-07-10 21:44 39M 
[   ]scratch-1.4.0.7-r1.apk2024-10-25 22:35 39M 
[   ]extremetuxracer-0.8.3-r0.apk2024-10-25 22:30 40M 
[   ]jreleaser-1.19.0-r0.apk2025-06-30 21:53 40M 
[   ]openfire-4.8.1-r1.apk2024-12-03 15:37 46M 
[   ]helmfile-1.1.2-r1.apk2025-07-10 21:44 46M 
[   ]openjdk23-src-23.0.2_p7-r1.apk2025-02-14 16:28 46M 
[   ]openjdk22-src-22.0.2_p9-r3.apk2025-02-14 16:28 46M 
[   ]openjdk24-src-24.0.1_p9-r0.apk2025-06-09 10:30 46M 
[   ]starfighter-2.4-r0.apk2024-10-25 22:36 48M 
[   ]cataclysm-dda-tiles-0h-r0.apk2025-03-20 01:48 49M 
[   ]cilium-cli-0.16.13-r6.apk2025-07-10 21:44 54M 
[   ]solarus-quest-editor-1.7.0-r1.apk2025-02-20 19:33 55M 
[   ]php85-dbg-8.5.0_alpha1-r0.apk2025-07-02 19:08 56M 
[   ]py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk2024-10-25 22:34 57M 
[   ]openjdk22-jre-headless-22.0.2_p9-r3.apk2025-02-14 16:28 59M 
[   ]openjdk23-jre-headless-23.0.2_p7-r1.apk2025-02-14 16:28 64M 
[   ]trivy-0.64.1-r1.apk2025-07-10 21:45 64M 
[   ]zot-2.1.2-r5.apk2025-07-10 21:46 71M 
[   ]lxd-feature-5.20-r12.apk2025-07-10 21:45 71M 
[   ]openjdk22-jmods-22.0.2_p9-r3.apk2025-02-14 16:28 72M 
[   ]openjdk24-jre-headless-24.0.1_p9-r0.apk2025-06-09 10:30 72M 
[   ]mint-y-icons-1.8.3-r0.apk2025-02-05 23:24 72M 
[   ]nextpnr-ice40-0.7-r0.apk2024-10-25 22:33 74M 
[   ]openjdk23-jmods-23.0.2_p7-r1.apk2025-02-14 16:28 76M 
[   ]openjdk24-jmods-24.0.1_p9-r0.apk2025-06-09 10:30 76M 
[   ]kotlin-language-server-1.3.13-r0.apk2025-03-28 17:12 83M 
[   ]piglit-0_git20241106-r1.apk2025-05-12 23:26 90M 
[   ]moka-icon-theme-5.4.0-r2.apk2024-10-25 22:32 114M 
[   ]zaproxy-2.16.1-r0.apk2025-03-31 16:53 224M 
[   ]trigger-rally-data-0.6.7-r3.apk2025-03-25 16:17 352M 
[   ]sauerbraten-2020.12.29-r4.apk2025-02-20 19:33 934M 

Apache/2.4.62 (Debian) Server at ftp.pl.scene.org Port 80